首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 125 毫秒
1.
In this paper, the issue of misalignment in phase retrieval by means of optical linear filtering is discussed. The filtering setup is based on a 4f configuration with a spatial light modulator (SLM) as an active element, located in the Fourier domain. From the analysis, crucial parameters for the alignment procedure of the setup's optical axes and the center of the SLM are identified. Furthermore, a method to automatically as well as electronically compensate such effects by modifying the phase pattern displayed on the SLM is introduced. Experimental results are presented that validate the compensation approach.  相似文献   

2.
An anisotropic nanopatterning method, based on a technique of atomic force microscopy (AFM) scribing of a thin polyimide film, is used to generate an alignment layer whose topography depends on the writing direction. Detailed experimental measurements are presented for the topographical anisotropy that arises when the polyimide alignment layer is scribed parallel and antiparallel to the AFM cantilever orientation. By means of a novel nanotomographic approach, the optical retardation δ of an alignable birefringent liquid that covers the scribed substrate is measured with unprecedented resolution of only a few tens of nanometers. In this technique a thin optical fiber is raster-scanned at several fixed heights inside the birefringent liquid, and the transmitted polarized light is collected downstream. The optical retardation δ from the fiber's tip to the polyimide interface was measured as a function of position x,y,z, with the results reflecting the spatially varying depth of the medium due to the polymer film surface topography. Theoretical calculations for δ are in excellent agreement with both the topographical and the high resolution nanoimaging experimental results obtained.  相似文献   

3.
We present an analysis of the performance limit of an adaptive multichannel free-space optical interconnect based on a spatial light modulator (SLM). The SLM function is to provide an active alignment of the signal beam in the detector plane. A thorough cross-talk analysis based on the diffractive properties of an ideal SLM in an isoplanatic optical system is shown. We analyze the performance in terms of the bit-error rate (BER) due to cross talk between different channels in the optical interconnect for different alignment states and for different phase-modulation schemes.  相似文献   

4.
The introduction of precision optical elements to X-ray microscopes necessitates fine realignment to achieve optimal high-resolution imaging. In this paper, we demonstrate a numerical method for simulating image formation that facilitates alignment of the source, condenser, objective lens, and CCD camera. This algorithm, based on ray-tracing and Rayleigh-Sommerfeld diffraction theory, is applied to simulate the X-ray microscope beamline U7A of National Synchrotron Radiation Laboratory (NSRL). The simulations and imaging experiments show that the algorithm is useful for guiding experimental adjustments. Our alignment simulation method is an essential tool for the transmission X-ray microscope (TXM) with optical elements and may also be useful for the alignment of optical components in other modes of microscopy.  相似文献   

5.
A method for the remote comparison of objects with regard to their shape or response to a load is presented. The method allows interferometric sensitivity for comparing objects with different microstructure. In contrast to the well-known incoherent techniques based on inverse fringe projection this new approach uses the coherent optical wave field of the master object as a mask for the illumination of the sample object. The coherent mask is created by digital holography to allow instant access to the complete optical information of the master object at any place desired. The mask is reconstructed by a spatial light modulator (SLM). The optical reconstruction of digital holograms with SLM technology allows modification of reconstructed wavefronts with respect to improvement of image quality, the skilled introduction of additional information about the object (augmented reality), and the alignment of the master and test object.  相似文献   

6.
This paper proposes a novel alignment system based on the measurement of optical path using a light beam scanning mode in a transmissometer. The system controls both the probe beam and the receiving field of view while scanning in two vertical directions. The system then calculates the azimuth angle of the transmitter and the receiver to determine the precise alignment of the optical path. Experiments show that this method can determine the alignment angles in less than 10 min with errors smaller than 66 μrad in the azimuth. This system also features high collimation precision, process automation and simple installation.  相似文献   

7.
成丹  卢秉恒  丁玉成  王莉 《光电工程》2005,32(10):93-96
采用傅里叶光学的方法,分析了冷压印光刻中新型斜纹光栅对准标记的透光特性。分析表明,对准信号与光栅副相对位移之间是正弦变化关系,且对准位置附近是一个陡直的线性区。该区对准信号的灵敏度很高,适合于多层套刻对准,根据信号和位移的线性关系,给出初步的对准信号计算模型。由于抗蚀剂的存在,使晶片表面反射率随位移而变化,提出用反射率因子进行修正的方案。反射率因子中包含有位移信息,将其与初步对准信号模型相乘,得到修正的计算模型。由新模型,研究了抗蚀剂平均厚度不同对对准信号的影响,说明要获得高灵敏度的信号,抗蚀剂厚度应取满足压印条件的最小值。  相似文献   

8.
Sui G  Chen B  Zhang X  Zhou J  Fu C  Iso M 《Applied optics》2007,46(30):7452-7459
A method for the automated alignment of optical waveguides and fibers based on a multiobjective evolutionary algorithm is proposed. This algorithm reduces the number of parallel operations considerably compared to previous automation schemes. The automated alignment of a single-core input fiber with a channel waveguide and a single-core output fiber is completed using this system in less than 3 min. The alignment of a single-core input fiber with a 1x8 splitter coupler and an eight-core output fiber array is completed in less than 10 min. These results demonstrate the effectiveness of the proposed scheme for automated waveguide alignment, substantially outperforming previous automatic alignment methods.  相似文献   

9.
We present the influence of alignment and the real properties of optical components on the performance of a two-detector homodyne displacement-measuring quadrature laser interferometer. An experimental method, based on the optimization of visibility and sensitivity, was established and theoretically described to assess the performance and stability of the interferometer. We show that the optimal performance of such interferometers is achieved with the iterative alignment procedure described.  相似文献   

10.
We describe a fabrication method that combines the alignment capabilities of optical lithography with the sub-lithographic dimensions achievable using self-assembled diblock copolymer films. We use surface topography to direct the assembly of in-plane cylindrical copolymer domains so as to subdivide larger patterns defined using optical lithography, in the process registering the location of each 20-nm polymer domain to the lithographic pattern. Our approach provides an application for self-assembly in the fabrication of complex microelectronic circuits entailing alignment of multiple patterned layers. We detail the influence of such process parameters as lithographic pattern dimensions and density, copolymer film thickness, and anneal time on the quality of the resulting nanometer-scale-domain registration.  相似文献   

11.
基于ISI-2802型激光直写系统原有基片的多图形对准方法,针对衍射光学元件(DOE)图形的特点,通过设计合理的对准标记、修改对准识别文件和实验,产生了一套适于DOE图形套刻的对准方案。其对准误差小,达到了系统规定指标。并实验研制出了16位相台阶的DOE,取得了良好的效果。  相似文献   

12.
We demonstrate the use of a single prism for adjustable dispersion compensation in a mode-locked laser cavity, instead of the standard approach with a prism pair. A simple model based on the prism-pair configuration is presented to determine the group-velocity dispersion by use of ray optics to trace the wavelength-dependent optical axes through the cavity. We experimentally demonstrated this concept with a passively mode-locked diode-pumped Nd:glass laser producing 200-fs pulses with a 200-mW average output power, using only one intracavity prism. The advantages of such a cavity design are simple alignment, reduced loss, and lossless wavelength tunability This technique can be generalized to other angularly dispersive elements such as prismatic output couplers.  相似文献   

13.
论述了激光准直技术的发展及共性的技术关键问题,系统介绍了对单位在高精度激光准直技术方面的研究成果,从理论到实践较好地克服激光漂移,大气环境对激光准直的严重影响。研制成功的激光光纤准直仪,激光双频同轴度仪及激光旋光准直仪具有当前高精度激光准直技术的代表性,并且均达到国内外先进水平。  相似文献   

14.
Ina H  Takeda M 《Applied optics》2007,46(17):3485-3492
To satisfy the increasing demand for extremely tight overlay accuracy in semiconductor manufacturing processes, all the measurement error factors in alignment systems and overlay measurement tools need be identified and eliminated. The principle of most alignment systems is based on image processing of target marks on the wafer under bright-field illumination. Although the phenomenon that the sensitivity to the alignment error varies with the step height (SH) of the mark has been known and used for evaluating the performance of the alignment optics, no investigation has been made into the origin and the physical mechanism of the phenomenon. We propose a simplified optical model that can account for the origin of the asymmetric image and clarify its relation to the SHs. The model is validated with simulation and experimental results. The improved performance of an alignment system using marks with optimally designed SHs is demonstrated.  相似文献   

15.
非接触式光学柔性三坐标测量系统   总被引:9,自引:0,他引:9  
罗明  段发阶 《光电工程》1996,23(3):18-23
文中以透视投影变换为依据,为基于两台经纬仪形成的一种非接触式光学柔性三坐标测量系统提出一全新的数学模型。该模型的提出不仅大大减少了系统定标的人为调整过程,即无需互瞄和精确调水平,使得定标操作简单,精度高;而且使系统的建立更为随意,灵活,增强了非接触式光学柔性三坐标测量系统的应用价值,实验证明了所提出数学模型的正确性,并获得0.05mm的空间精度。  相似文献   

16.
Ghaemi FT 《Applied optics》2011,50(26):5108-5114
Cameras built for space exploration are required to meet stringent environmental conditions, such as thermal and dynamic loads for both the optics (camera lens) and imaging electronics. On a multitude of spaceborne imaging instruments, optical elements are supported in their mounts via an elastomeric bonding approach using a room temperature vulcanizing silicone as the bonding agent. Employing this integration method, we achieved element-to-element alignment, measured as the total indicated runout, using a high-precision contact probe to be on the order of half a wavelength of He-Ne laser light, or 0.3?μm, on the Malin Space Science Systems lenses for the Mars Science Laboratory (MSL) cameras. This is a higher precision than the current industry state-of-the-art, and it was achieved for the very challenging small diameter lens elements. This paper describes the design philosophy, implementation, and integration method that resulted in achieving this level of precision for interelement alignment. The results are based on actual measurements that were made during the process of building the MSL rover's science camera lenses, namely Mastcams, the Mars Hand Lens Imager, and the Mars Descent Imager. The optical designs of these cameras lenses are described in detail in [Opt. Eng.48, 103002 (2009)], while further information on the four science cameras can be found at http://www.msss.com.  相似文献   

17.
Mizukami M  Hirano M  Ohira F 《Applied optics》1997,36(24):5918-5922
We propose a method of aligning optical axes by monitoring reflected light from the core and the cladding at the coupling end. This method enables the simultaneous alignment of optical axes at multiple coupling ends because it is not necessary to monitor the transmitted light through the couplings. Experimental results show that this method can be used for coupling between cleaved single-mode fibers and between fibers with slant ends. The simultaneous alignment of two coupling ends is also achieved, and it is shown to obtain the optical power transmitted through the coupling, which is enough to begin the precise alignment. Moreover, we confirm fundamentally that one can use this method for precise alignment of optical axes by monitoring the optical beam profiles of the reflected light.  相似文献   

18.
基于光学定心加工的道威棱镜装配方法   总被引:4,自引:1,他引:3  
本论文针对如何实现道威棱镜光轴与旋转轴平行,将道威棱镜转像理论与光学定心加工结合,提出了基于光学定心加工的道威棱镜组件装配方法.该方法根据道威棱镜旋转轴偏转时其出射光束发生变化的特性确定道威棱镜光轴,并通过车削的方式保证其镜筒外圆旋转轴与其光轴平行.本文具体提出两种道威棱镜组件定轴方案,分别为平行光管定轴方案以及激光定...  相似文献   

19.
Gruber M  Hagedorn D  Eckert W 《Applied optics》2001,40(28):5052-5055
A method for aligning a photolithographic mask at the top of a transparent wafer that has a pattern on its bottom side is presented. The method is based on optical self-imaging of special alignment marks and provides submicrometer accuracy. The method is simple and robust and can conveniently be implemented on laboratory mask aligners for contact or proximity printing.  相似文献   

20.
Self-aligning lidar for the continuous monitoring of the atmosphere   总被引:3,自引:0,他引:3  
In a lidar system an accurate transmitter-receiver alignment is essential for correct results. Usually this optical adjustment is time consuming and requires the intervention of highly qualified personnel. As a solution to this problem, a fast and precise automatic alignment procedure is presented, based on a simple model of the transmitter-receiver overlap. The lidar mounted at the Naples University is used to test this method. A centering precision of few microradians is obtained through dedicated software controlling a gimbal-mounted mirror. The automatic alignment procedure is then assessed. In particular, the correctness of the center and of its error is determined. Finally, the system is applied to the monitoring of tropospheric aerosols, leading to the continuous retrieval of profiles with fine spatiotemporal resolution.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号