首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
脚本语言Lua小巧高效,能与C/C++语言自然的结合与交互,其设计目的是为了嵌入应用程序中,从而为应用程序提供灵活的扩展和定制功能,让客户在游戏中设计自己的客户端脚本,制作特殊的游戏逻辑插件。  相似文献   

2.
基于UART的可靠通信与性能分析   总被引:6,自引:0,他引:6  
胡立坤  王庆超 《计算机工程》2006,32(10):15-17,21
分析了基于UART总线型拓扑的可靠性连接和单主多从、令牌、多主对等系统的实现方案。从3个方面研究了通信性能,UART的接口与传输线特性决定了系统的最高通信速率;基于UART位串时槽研究了重新校准波特率的最大时间;基本UART的协议相关分析表明,衡量通信效果应从协议的效率、传输线的“忙闲”程度、数据理解率和时态特性几个方面分析。  相似文献   

3.
串行通信接口是一种主要的通信接口。UART作为RS232协议的控制接口得到了广泛的应用,基于FPGA实现的UART设计可以使系统更加紧凑、稳定。系统结构进行了模块化分解,使之适应自顶向下(TopDown)的设计方法。本文介绍一种基于FPGA的UART控制器的多模块实现方法,使用VHDL语言进行描述,其波特率可以调整,工作状态可读取,控制逻辑直观简单,大幅度提高了设计效率。本文介绍的方法已成功应用在实际项目中。  相似文献   

4.
《软件工程师》2015,(8):29-31
Lua脚本语言技术给Coco2d-x开发,带来了新的天地。通过Lua脚本语言开发Cocos2d-x应用,摆脱了C/C++开发的繁琐代码,使得开发变得快速高效又能同时在多个平台运行,Lua脚本语言的可拓展性,可以使它很好的集成在应用程序中。同时Lua语言在开发成为控制器。控制应用程序的逻辑而Coco2d-x之提供显示功能和跨平台功能。这样开发不仅可以动态修改客户端BUG,而且还能动态更新应用内容避免了更新带来的客户流失。Lua语言免费、小巧、快速易移植降低了开发成本又保证了应用性能。  相似文献   

5.
基于51系列单片机的通用软件UART的实现   总被引:3,自引:4,他引:3  
通过对UART技术的研究,提出了一种利用软件实现UART的单片机扩展方法,没有使用任何外围器件.仅仅利用单片机的一个I/O端口、一个T/C技术定时器和一个INT外部中断并通过软件编程实现,节约了系统开发过程中的硬件开销,并且具有良好的软件兼容性。提高系统开发速度。  相似文献   

6.
针对51系列单片机与智能模块的串口通信,研究嵌入式实时内核的串口通信方案。讨论了实时操作系统下消息队列功能正确配置方法,利用系统中断和内核提供的消息队列功能,实现了串口通信和数据存储,对通信协议、消息队列功能配置、驱动程序任务分解、数据存储方式作了重点论述,为设计使用智能模块与单片机串口通信的控制系统应用领域提供了良好的升级解决方案。  相似文献   

7.
UART通信模式以其简单连接方式,可靠的传输效率广泛应用于现代化工业生产的各个方面,本文讨论的是51单片机与FPGA芯片的之间的UART通信模式。从二者的硬件连接,通信编程和软件仿真三个方面分析该模式的实现方式,并仿真了其实际通信效果,阐明了其实际可行性和使用可靠性。  相似文献   

8.
针对智能仪器这一广阔的市场,探讨了基于WinCE的某型直升机机载武器通用检测系统通信的设计与实现,详细介绍了软件部分的串口通信以及数据处理.  相似文献   

9.
动态脚本语言Lua与C++交互方法的研究与实现   总被引:1,自引:0,他引:1  
针对当前利用C++开发大型复杂程序代价高、周期长的问题,为了充分发挥Lua动态脚本语言的特点。重点探讨了如何在Lua脚本中模拟消息事件机制和Lua访问C++接口的具体实现方法以及Lua调试器的设计方案。  相似文献   

10.
基于S3C2410的嵌入式串口通信设计   总被引:1,自引:0,他引:1  
本文通过基于S3C2410的嵌入式串口通信的实现,按照嵌入式系统的软、硬件结构组成,较为详细地介绍了串行通信的硬件电路和软件实现方法.该系统的硬件主体设计以三星S3C2410处理器为核心控制器件,通过与计算机串口间的连接以及单片机通信软件的分层设计.实现在ARM平台上访问外部设备的基本功能.  相似文献   

11.
网络游戏主要是通过脚本系统来控制游戏内容和游戏逻辑。在网络游戏中运用Lua脚本,不仅能降低游戏开发难度,而且能发挥第三方脚本的灵活性和健壮性。分析脚本系统的工作原理和运行过程,在Lua脚本的基础上提出一种网络游戏脚本系统架构,并对架构进行实验测试。  相似文献   

12.
基于Verilog-HDL的UART串行通讯模块设计及仿真   总被引:1,自引:0,他引:1  
UART协议是数据通信及控制系统中广泛使用的一种全双工串行数据传输协议,在实际工业生产中有时并不使用UART的全部功能,只需将其核心功能集成即可。波特率发生器、接收器和发送器是UKRT的三个核心功能模块,利用Verilog-HDL语言对这三个功能模块进行描述并加以整合,通过Modelsim仿真,其结果完全符合UART协议的要求。  相似文献   

13.
基于System Generator系统级建模工具在Matlab/Simulink环境下完成了UART通讯模块的建模,并生成位流文件下载到Spartan 3E开发板的FPGA芯片中,实现UART通讯数据发送和接收功能。实验结果表明,System Generator系统级建模工具不仅消除了原先系统工程师与软硬件工程师之间的隔阂,而且简化了传统的FPGA开发流程。  相似文献   

14.
胥凌燕 《微计算机信息》2007,23(35):218-219,183
文章介绍了一种在现场可编程门阵列(FPGA)上实现UART的方法?首先阐述了UART异步串行通信原理.然后介绍了实现UART异步串行通信的硬件接口电路及各部分硬件模块,以及用硬件描述语言Verilog来开发UART通信接口电路的FPGA实现。系统结构进行了模块化分解,使之适应自顶向下(Top—Down)的设计方法。最后给出功能仿真结果。验证了整个设计的正确性和可靠性.  相似文献   

15.
详细地介绍了DMA数据传输的特点,提出了一套完整的基于散列DMA的工业级高速串口驱动设计方案,并利用该方案在SPEAR300处理器平台上设计了可在12Mbps下稳定工作的高速串口。该方案极少产生中断,大大提高了数据传输的效率。通过与西门子S7系列PLC进行的通信测试,证实了该方案的有效性和可靠性。  相似文献   

16.
详细介绍了基于串行通信的智能通信计算机的硬件组成、工作原理及软件设计 ,并讨论了串行通信中所遇到的干扰问题和采取的抗干扰措施。  相似文献   

17.
TMS320C54X软件模拟实现UART技术   总被引:2,自引:0,他引:2  
TMS320C54X高速DSP芯片由于缺少UART标准接口,与带UART的外设直接进行异步通信比较困难。本文介绍了一种用软件模拟实现UART方法,可以较好解决这个问题。该方法无须额外硬件开销,实验表明:简单文便,灵活可靠。  相似文献   

18.
异步协议是广泛应用于数据链路层的串行通信协议,文中基于该协议用VHDL设计了全双工可编程UART(Uni-versal Asynchronous Receiver Transmitter,通用异步收发器)。重点讨论了使用FSM(有限状态机)技术进行接收器和发送器两大核心模块的设计实现,以及接收器能够正常工作的关键技术———倍频采样技术;此外本设计在采样的同时实现串并转换,它比传统的方法能少一个周期的时钟消耗。设计的UART在Quartus II 4.0中通过了全部功能仿真。  相似文献   

19.
本文介绍了一种新型数字信号控制器dsPIC33FJ256GP710的性能特点,重点讲述了使用其直接存储器访问(DMA)控制器设计UART通讯模块的原理和方法,通过其在车辆电源检测和故障诊断系统中的应用,证明了该设计具有较高的实用性。  相似文献   

20.
叙述了PC机与嵌入式计算机系统的多线程串行通信的实现。介绍了多线程设计思想的必要性,给出了程序设计流程。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号