首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 78 毫秒
1.
刘杰  易茂祥 《计算机工程》2010,36(1):251-252
传统加法器在处理多操作数累加时,必须进行多次循环相加操作。针对该问题设计5操作数并行加法器及其高速进位接口。电路采用多操作数并行本位相加和底层进位级联传递的方式,在一定程度上实现多操作数间的并行操作,减少相加次数。模拟结果验证了该加法器的设计合理性,证明其能缩短累加时间、提高运算效率。  相似文献   

2.
超前进位加法器研究   总被引:1,自引:0,他引:1  
从硬件底层优化设计考虑,将串行加法转变为超前进位加法来提高底层的运算速度.通过设计超前进位加法将迭代关系去掉,使各变量运算彼此相对独立,避免进位传播.来降低门级层数,最终提高运算速度.  相似文献   

3.
为加快密码系统中大数加法的运算速度,提出并实现一种基于组间进位预测的快速进位加法器。将参与加法运算的大数进行分 组,每个分组采用改进的超前进位技术以减少组内进位延时,组间通过进位预测完成不同进位状态下的加法运算,通过每个组产生的进位状态判断最终结果。性能分析表明,该进位加法器实现1 024位大数加法运算的速度较快。  相似文献   

4.
本文介绍了用原理图输入方法设计一款图象处理ASIC芯片中乘加单元的核心运算部件——32位超前进位加法器,出于速度(时延)和面积折衷优化考虑,它以四位超前进位加法器和四位超前进位产生器为基本设计单元级联而成,因此该电路具有速度和面积的折衷优势。选择原理图输入方法,是考虑到本电路复杂度不高,而原理图输入可控性好,效率高,可靠性强且直观,可以熟悉较底层的结构。文章先给出电路的设计实现,并且是先设计四位超前进位加法器,再提出32位超前进位加法器的设计思想和设计原理,然后再通过测试文件的逻辑验证正确。本设计的所有内容,都将在SUN工作站上Cadence工具Schematic Composer中完成。  相似文献   

5.
针对浮点ALU中加减运算要求同时计算sum和sum+1的特点,综合考虑延时和面积,采用选择进位结构设计复合加法器。给出了选择进位加法器延迟时间与分组方式的关系,以及最优化分组方法,将其应用于复合加法器的设计中,并用HSPICE在0.187m CMOS工艺下的模拟结果进行验证。  相似文献   

6.
随着DSP处理器运算速度的大幅提高,为进一步提高运算速度,各种不同结构的加法器设计相继提出.其中并行前缀加法器以其简单的标准单元和规则的内部连接的结构特点,非常适合VLSI的实现.基于Verilog语言,对快速并行前缀Ling型加法器设计进行RTL级验证,并分析比较不同的验证方法.  相似文献   

7.
子字并行加法器能够有效提高多媒体应用程序的处理性能。基于门延迟模型对加法器原理及性能进行了分析,设计了进位截断和进位消除两种子字并行控制机制。在这两种机制的指导下,实现了多种子字并行加法器,并对它们的性能进行了比较和分析。结果表明进位消除机制相对于进位截断机制需要较短的延时,较少的逻辑门数以及较低的功耗。在各种子字并行加法器中,Kogge-Stone加法器具有最少的延迟时间,RCA加法器具有最少的逻辑门数和最低的功耗。研究结果可以用于指导子字并行加法器的设计与选择。  相似文献   

8.
提出了一种适合FPGA高效运算的专用进位链结构.基于应用范围方面的考虑.我们先对典型的行波进位做了一定的改进.目的是增强逻辑模块的功能实现能力和提高运算速度.提出进位链设计的策略.设计一种基于高效加法器像选择进位、超前进位的进位新结构.结果表明这种优化设计提高了芯片的运算速度,同时比现有的结构要快2倍左右.  相似文献   

9.
标志前缀加法器的结构优化设计   总被引:1,自引:1,他引:0       下载免费PDF全文
许团辉  王玉艳  章建雄 《计算机工程》2010,36(13):286-287,290
标志前缀加法器运算速度快但存在面积大的缺点。为满足实际应用中对浮点乘加单元面积的要求,对其进行结构优化得到基于Kogge-stone树结构的51位标志前缀加法器,采用模块级联减少运算单元个数,达到减小浮点乘加单元面积、降低功耗的目的。在TMSC 0.18 μm工艺下,该51位加法器的面积、总功耗、关键路径时延分别减少了10%, 10.5%, 6.4%。  相似文献   

10.
设计一款适用于高性能数字信号处理器的16位加法器。该加法器结合条件进位选择和条件“和”选择加法器的特点,支持可重构,可以进行2个16位数据或者4个8位数据的加法运算,同时对其进位链进行优化。相对于传统的条件进位选择加法器,在典型工作条件下,采用0.18μm工艺库标准单元,其延时降低46%,功耗降低5%。  相似文献   

11.
12.
以IEEE754标准格式中的单精度格式为标准,进行浮点加法器的设计。SystemC作为一种基于C 语言的新型硬件设计语言比较原有的HDL语言在系统级建模、软硬件协调设计方面更具优势,因此也更适用于SoC的设计建模。通过对浮点加法流程的分析,以其算法设计和结构映射为例,对浮点加法步骤加以讨论,得出合适于标准格式的设计,并结合如何应用SystemC进行系统设计,给出浮点加法器部分模块的SystemC描述。  相似文献   

13.
唐敏  许团辉  王玉艳 《计算机工程》2011,37(10):219-220
传统的加法器在有符号数相加时需将操作数转化为补码形式进行运算,运算结束将计算结果再转化为原码。为减少关键路径延迟,在标志前缀加法器的基础上,提出一种改进的反码加法器,将常用反码加法器中的加一单元合并到加法运算中。在SMIC 0.18 μm工艺下,将改进的64位反码加法器与常用的64位补码加法器进行比较,数据显示面积减少了39.1%,功耗降低了39.9%,关键路径延迟降低了5.1%。结果表明,改进的反码加法器性能较优。  相似文献   

14.
The adders are the vital arithmetic operation for any arithmetic operations like multiplication, subtraction, and division. Binary number additions are performed by the digital circuit known as the adder. In VLSI (Very Large Scale Integration), the full adder is a basic component as it plays a major role in designing the integrated circuits applications. To minimize the power, various adder designs are implemented and each implemented designs undergo defined drawbacks. The designed adder requires high power when the driving capability is perfect and requires low power when the delay occurred is more. To overcome such issues and to obtain better performance, a novel parallel adder is proposed. The design of adder is initiated with 1 bit and has been extended up to 32 bits so as verify its scalability. This proposed novel parallel adder is attained from the carry look-ahead adder. The merits of this suggested adder are better speed, power consumption and delay, and the capability in driving. Thus designed adders are verified for different supply, delay, power, leakage and its performance is found to be superior to competitive Manchester Carry Chain Adder (MCCA), Carry Look Ahead Adder (CLAA), Carry Select Adder (CSLA), Carry Select Adder (CSA) and other adders.  相似文献   

15.
基于可编程器件的加法器结构研究   总被引:1,自引:0,他引:1  
加法运算是数字信号处理最基本的运算,随着各种可编程逻辑器件在数字信号处理领域越来越多的应用,高速加法器在可编程器件上的研究应该得到人们的重视。文章根据VirtexTM-E器件的特点,分析了各种常用加法器结构在可编程器件上实现的可行性和将遇到的问题,给出了一种适于可编程器件的行波进位/跳跃进位加法器实现形式。  相似文献   

16.
王辉  刘宏伟  张慧敏 《计算机工程》2009,35(22):224-226
给出一种支持多种位数RSA算法加密芯片的完整设计方案。采用改进的Montgomery模乘算法和LR模幂算法,根据大数运算的特点和降低资源消耗的需要改进主要运算电路的结构,并采用全定制IC的设计流程进行实现。实验结果表明,该方案结构简单,节省了面积,且能达到较高的性能。  相似文献   

17.
This paper presents an optimized 64-bit parallel adder. Sparse-tree architecture enables low carry-merge fan-outs and inter-stage wiring complexity. Single-rail and semi-dynamic circuit improves operation speed. Simulation results show that the proposed adder can operate at 485ps with power of 25.6mW in 0.18μm CMOS process. It achieves the goal of higher speed and lower power.  相似文献   

18.
为了提高Smith-Waterman算法处理速度,同时不改变原算法的准确性,本文利用前缀计算方法修改Smith-Waterman算法,并进行OpenMP并行化。在多核机上测试表明,前缀计算的共享并行化使得该局部比对算法的速度得到很大的提高。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号