首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We report how ferroelectric materials induce negative differential resistance (NDR) in organic devices. Fluorescein, which exhibits semiconducting current–voltage characteristics, shows NDR effect in a ferroelectric matrix. Here, we vary the concentration of fluorescein in the ferroelectric matrix to study its effect on NDR. We also show how the degree of polarization controls NDR. We infer that under a suitable bias, the ferroelectric polymer becomes polarized to facilitate electron-injection in the device followed by a double-reduction of fluorescein molecules. From the capacitance–voltage measurements, we substantiate the role of polarization in inducing NDR effect in organic molecules.  相似文献   

2.
3.
A small-signal analytical model is developed for a bulk silicon device subjected to avalanche multiplication induced by space-charge effects. Results show that transit-time negative resistance may exist, provided the solid is biased near the onset of the dc negative resistance or above it; this ac negative resistance is into the microwave range for practical devices. The main features of this phenomenon and the differences with respect to IMPATT diodes are pointed out by using suitable approximate expressions which can help in finding the overall frequency behavior. A check of the preceding analysis by a computer solution of the small-signal impedance has also been performed.  相似文献   

4.
An exclusive-OR (XOR) logic circuit with clocked supply voltage based on the controlled quenching of series-connected negative differential resistance (NDR) devices is demonstrated. This controlled quenching process obeys a simple rule: the NDR device with the smallest peak current is always quenched first. In our present work, resonant-tunneling diodes provide the critical NDR feature, while FET's, which are integrated with RTD's in parallel, modulate the peak currents of NDR devices. The modulation of peak currents in different NDR devices directly controls the quenching sequence, and results in certain logic functions, one of which is XOR  相似文献   

5.
A traveling-wave negative resistance amplifier is proposed using a corrugated surface wave structure. A simple gain equation is derived based on a two-dimensional model. The proposed amplifier is simple to construct and is capable of high-power operation.  相似文献   

6.
We report the effect of negative differential resistance (NDR) in the drain circuit of a new type of selectively doped AlGaAs/ GaAs heterojunction transistor. The key new element of our structure is the presence of a subsidiary GaAs conducting layer, separated from the FET channel by an AlGaAs graded barrier. In this work the subsidiary layer is realized by the conducting substrate. The NDR effect arises due to the heating of channel electrons by the source-to-drain field, and the subsequent charge injection over the barrier. This effect is strongly influenced by the gate and substrate voltages. In a floating-substrate arrangement the current-voltage characteristics exhibit memory effects associated with retention of injected charge in the substrate. In this mode, the NDR is seen only at low temperatures with the peak-to-valley ratios in current at 77 K reaching values as high as 30. On the other hand, when the substrate is biased positively, the NDR results from a peculiar effect of dynamical channel depletion by the injected space charge which drifts on the downhill slope of the graded barrier. In this case, the NDR is observed even at room temperature.  相似文献   

7.
The organic molecule deposited between gaphene electrodes to form a molecular device has been demonstrated experimentally. Motivated by this case, devices consisting of the polyphenylene molecule bonded covalently with armchair-edged graphene nanoribbon (AGNR) electrodes are constructed and the selective doping with N atom is considered theoretically. Our modeling calculations show that such devices hold the nonlinear and doping-site-dependent transport properties, prominently with multi-peak NDR (negative differential resistance) effect. And, for a peculiar doping site, a very large NDR can be observed, which could be attributed to interactions of the molecular core and doped AGNR electrodes, namely, these hybridized wave functions hold distinctly different delocalization in different benzene rings of the polyphenylene molecule when the applied bias is altered. Also shown is that this large NDR is robust regarding the length increasing and rotation of molecule.  相似文献   

8.
N-shaped negative differential resistance field effect transistors (NDRFETs) have been fabricated and demonstrated. The interesting N-shaped NDRs are three terminal controlled phenomena. This N-shaped NDR behavior is found in the higher drain-to-source voltage (VDS) regime and is obtained both at positive and negative gate-to-source bias (VGS). We believe that the NDR phenomena are attributed to the real space transfer (RST) effect. Due to the modulation doped effect and different barrier height, the NDR behavior can easily be controlled. The influence of VGS bias on the NDR characteristics is also investigated.  相似文献   

9.
A new resonant-tunneling (RT) functional device with two peaks in the current-voltage (I-V) characteristic has been demonstrated. Contrary to conventional RT devices, the peaks are obtained using a single resonance of the quantum well. The peak's separation is voltage tunable and the peak currents are nearly equal, which is important for a variety of device applications. Using a single device, a three-state memory cell has been implemented.  相似文献   

10.
Voltage-controlled negative differential resistance (NDR) characteristics in a N-AlGaAs/p+-GaAs/n-GaAs transistor structure are proposed and demonstrated. The gate, made using self-aligned p-type diffusion, is placed in the n-GaAs collector layer instead of the p+-GaAs base layer, resulting in a so-called resistive gate. For a fixed gate voltage, the device current is modulated by the applied anode voltage. Under appropriate gate voltage with respect to the anode, the device shows good voltage-controllable NDR characteristics, including large peak-to-valley current ratios (PTV's) and a voltage extension in the N-shaped curve which is equivalent to the common-emitter breakdown voltage in a transistor. A numerical model based on the transistor model for the carrier transport in this device, taking account of the influence of the applied anode voltage on the gate, is proposed. The experimental results show large room temperature PTV's (e.g., 140 at a gate bias of 1.5 V) and large voltage extension in N-shaped curves (about 9 V). Reasonable agreement between theoretical and experimental results is observed  相似文献   

11.
An explanation of the increased peak-to-valley current ratio for double-barrier resonant tunneling structures (DBRTSs) operated at low temperatures is proposed. It was found that this phenomenon is an inherent property of DBRTSs not caused by the suppression of thermionic current over barriers. The energy distributions of electrons at different temperatures result in variations of peak and valley currents  相似文献   

12.
The circuit concept of programmable logic gates based on the controlled quenching of series-connected negative differential resistance (NDR) devices is introduced, along with the detailed logic synthesis and circuit modeling. At the rising edge of a clocked supply voltage, the NDR devices are quenched in the ascending order of peak currents that can be reordered by the control gates and input gates biases, thus, providing programmable logic functions. The simulated results agree well with the experimental demonstration of the programmable logic gate fabricated by a monolithic integrated resonant tunneling diode/high electron mobility transistor technology.  相似文献   

13.
14.
We have observed, respectively, a negative differential resistance (NDR) and switching conduction in current–voltage (I–V) characteristics of organic diodes based on copper phthalocyanine (CuPc) film sandwiched between indium-tin-oxide (ITO) and aluminum (Al) by controlling the evaporation rate. The NDR effect is repeatable, which can be well controlled by sweep rate and start voltage, and the switching exhibits write-once-read-many-times (WORM) memory characteristic. The traps in the organic layer and interfacial dipole have been used to explain the NDR effect and switching conduction. This opens up potential applications for CuPc organic semiconductor in low power memory and logic circuits.  相似文献   

15.
The results of theoretical and experimental investigations of the appearance of negative differential resistance in p-n junction diode structures in the presence of a high level of microwave power are presented. The theoretical analysis of the influence of a high level of microwave power on the form of the current-voltage characteristic of a diode takes into account the variation of the constant component of the current flowing through the p-n structure due to the heating of the free charge carriers and the rectifier effect. Fiz. Tekh. Poluprovodn. 32, 1399–1402 (November 1998)  相似文献   

16.
The negative differential resistance (NDR) phenomena were observed in GaSb/AlSb/InAs/-GaSb/AlSb/InAs resonant interband tunnel structures. Electrons have resonantly achieved interband tunneling through the InAs/GaSb broken-gap quantum well. The InAs well width causes significant variations of the peak current density and NDR behaviors. The peak current density varies exponentially with the AlSb barrier thickness. The multiple NDR behavior was observed with appropriate InAs well and AlSb barrier thicknesses, e.g., 30 Å thick AlSb barrier and 240 Å wide InAs well. Only single negative resistance has, otherwise, been seen. The three-band model was used to interpret the effect of the InAs well and AlSb barrier on the current-voltage characteristics of GaSb/AlSb/InAs/GaSb/AlSb/InAs structures  相似文献   

17.
A kinetic model for charge carrier transport in periodic nanodimensional Si/CaF2 structures via localized states in the insulator was suggested. The appearance of the built-in electric charge in the insulator due to the polarization of the charge trapped by localized charge centers and the subsequent discharge of these centers were investigated. It was demonstrated that these phenomena explain the hysteresis of current-voltage (I–V) characteristics with a change of polarity of the applied external voltage. These phenomena bring about the portion of negative differential resistance (NDR) in these characteristics. Major factors ensuring the NDR appearance for the structures under investigation are the charge carrier density at the contacts and the charge voltage. At temperatures below 250 K, the NDR portion disappears. It was demonstrated that, in the course of recording the experimental I–V characteristics, the effect of the charging-discharging of localized centers should decrease. This decrease is in accordance with an increase in the time interval of measuring the current at a constant voltage and with an increase in the step of the applied voltage. This effect actually disappears for the measurement time of 20 s and the voltage step of 0.6 V.  相似文献   

18.
Using nonequilibrium Green’s functions in combination with the density functional theory, we investigate the spin-dependent electronic transport properties of two nanostructure devices based on graphitic carbon nitrides bridging two zigzag graphene nanoribbons, i.e., center and edge bridged devices, respectively. It is found that the center bridged device behaves spin negative differential resistance properties in different bias ranges for the up and down spin current respectively. The edge bridged device presents obvious negative differential resistance only for the down spin current. Moreover, high spin-filtering efficiency over 80% is obtained in the edge bridged device in the bias range of 0–1.0 V. The magnetic properties of these devices suggest promising applications in spintronics and molecular electronics.  相似文献   

19.
An analytical model for the negative resistance induced by avalanche injection in bulk semiconductors is developed including diffusion, recombination and accounting for the properties of the majority carrier injecting electrode with respect to the avalanche generated carriers.

Two limiting situations are discussed in detail namely that of a n+-n cathode which is blocking for the avalanche generated holes and that of a metal-semiconductor ohmic contact with an infinite surface recombination rate.

The calculations show that in the first case the avalanche ionization is extremely low and the negative resistance occurs because a low-field neutral region creates into the solid thus reducing the voltage; in the other case, the multiplication is relatively high and the negative resistance is due to the lowering of the field in the region of scattering-limited velocity. As a consequence, from the first to the second situation the peak voltage changes by a factor of two and the peak current by a factor of three or more.

These results give a new insight of a number of experimental observations reported in the literature. The performance of devices made with different techniques which approximate one or the other limiting situations mentioned above is accurately predicted by the proposed model.  相似文献   


20.
An efficient way to improve the ON resistance Ron of a vertical double-diffused MOS device is to implant a shallow, lightly doped layer over the drift area of the device. The evolution of Ron for different voltage handling capacities vs. (i) the junction depth and (ii) the concentration of this layer was studied. The figure of merit (the product of Ron and the surface area) of the device was calculated using an analytical unidimensional model and with a two-dimensional numerical simulator. The influence of this surface doping technique on the breakdown voltage of the device was investigated. Comparison between the analytical and numerical approaches shows that two-dimensional effects are important. The trade-off between the factor of merit and the breakdown voltage is emphasized and design rules to use the surface doping technique for devices with voltage handling capacities of 50, 150 and 400 V are given.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号