首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

2.
Chemical reaction of gate metal with gate dielectric for Ta gate MOS devices has been experimentally investigated both by electrical and physical measurements: capacitance-voltage (C-V), current-voltage (I-V), transmission electron microscopy (TEM), energy dispersive X-ray (EDX), electron diffraction measurements. In spite of the chemical reaction of Ta with SiO2 consuming ~1-nm-thick in gate oxide, the interface trap densities of ~2×1010 cm-2 eV -1 at midgap and ideal channel mobility characteristics have been observed in the Ta gate MOS devices with 5.5-nm-thick thermal oxide gate dielectric. Considering the experimental data with theoretical calculation based on thermodynamics together, a barrier layer model has been developed for the Ta gate MOS systems. The physical mechanism involved is probably self-sealing barrier layer formation resulting from the chemical reaction kinetics in the free-energy change of Ta-Si-O system  相似文献   

3.
As the gate oxide thickness decreases below 2 nm, the gate leakage current increases dramatically due to direct tunneling current. This large gate leakage current will be an obstacle to reducing gate oxide thickness for the high speed operation of future devices. A MOS transistor with Ta2O5 gate dielectric is fabricated and characterized as a possible replacement for MOS transistors with ultra-thin gate silicon dioxide. Mobility, Id-Vd, Id-Vg, gate leakage current, and capacitance-voltage (C-V) characteristics of Ta2O5 transistors are evaluated and compared with SiO2 transistors. The gate leakage current is three to five orders smaller for Ta2O5 transistors than SiO2 transistors  相似文献   

4.
HfO2/TaON叠层栅介质Ge MOS器件制备及电性能研究   总被引:1,自引:0,他引:1  
为提高高k/Ge MOS器件的界面质量,减小等效氧化物厚度(EOT),在high-k介质和Ge表面引入薄的TaON界面层.相对于没有界面层的样品,HfO2/TaON叠层栅介质MOSFET表现出低的界面态密度、低的栅极漏电和较好的输出特性.因此利用TaON作为Ge MOS器件的界面钝化层对于获得小的等效氧化物厚度和高的高k/Ge界面质量有着重要的意义.  相似文献   

5.
A novel device structure with a high-k HfO2 charge storage layer and dual tunneling layer (DTL) (SiO2/Si3N4) is presented in this paper. Combining advantages of the high trapping efficiency of high-k materials and enhanced charge injection from the substrate through the DTL, the device achieves a fast program/erase speed and a large memory window. The device demonstrates excellent retention due to its physically thick DTL and also improved endurance without any increase of programming Vth throughout the cyclic test as compared with SONOS Flash memory devices using an Si3N4 trapping layer.  相似文献   

6.
The dielectric breakdown mechanism of SiO2 has been discussed on the basis of the experimental results of the post-breakdown resistance (Rbd) distribution. We have noticed for the first time that Rbd of SiO2 in MOS devices is strongly related to the SiO2 breakdown characteristics such as the polarity dependence or the oxide field dependence of Qbd. In this paper, we discuss the dielectric breakdown mechanism of SiO2 from the viewpoint of the statistical correlation between the R bd distribution, the Qbd. distribution, and the emission energy just at the SiO2 breakdown, by changing the stress polarity, stress field, and the oxide thickness. For complete dielectric breakdown, it has been clarified that the Rbd distribution under the substrate electron injection is clearly different from that under the gate electron injection. We have also found that, irrespective of the stress current density, the gate oxide thickness and the stressing polarity, Rbd can be uniquely expressed by the energy dissipation at the occurrence of dielectric breakdown of SiO2 for the complete breakdown. Furthermore, it has been clarified that Rbd does not depend on the energy dissipation at the occurrence of quasidielectric breakdown  相似文献   

7.
Furnace nitridation of thermal SiO2 in pure N2 O ambient for MOS gate dielectric application is presented. N2O-nitrided thermal SiO2 shows much tighter distribution in time-dependent dielectric breakdown (TDDB) characteristics than thermal oxide. MOSFETs with gate dielectric prepared by this method show improved initial performance and enhanced device reliability compared to those with thermal gate oxide. These improvements are attributed to the incorporation of a small amount of nitrogen (~1.5 at.%) at the Si-SiO2 interface without introducing H-related species during N2O nitridation  相似文献   

8.
We have investigated gate oxide degradation in metal-oxide-semiconductor (MOS) devices as a function of high-field constant-current stress for charge injection from both gate and substrate. The two polarities are asymmetric: gate injection, where the substrate Si-SiO2 interface is the collecting electrode for the energetic electrons, shows a higher rate of interface-state generation (ΔDit) and lower charge-to-breakdown Qbd. Thus the collecting electrode interface, which suffers primary damage, emerges as a critical degradation site in addition to the injecting electrode interface, which has been the traditional focus. Consistent with a physical-damage model of breakdown, we demonstrate that interfacial degradation is an important precursor of breakdown, and that the nature of breakdown-related damage is physical, such as trap-generation by broken bonds  相似文献   

9.
Novel yttrium- and terbium-based interlayers (YIL and TbIL, respectively) on SiO2 and HfO2 gate dielectrics were employed for NMOS work function Phim modulation of undoped nickel fully silicided (Ni-FUSI) gate. Bandedge Ni-FUSI gate Phim of ~4.11 and ~4.07 eV was obtained by insertion of ultrathin (~1 nm) YIL and TbIL, respectively, on the SiO2 gate dielectric in a gate-first process (with 1000 degC anneal). NiSi Phim on SiO2 could also be tuned between the Si midgap and the conduction bandedge EC by varying the interlayer thickness. The achievement of NiSi Phim around 4.28 eV on the HfO2 gate dielectric using interlayer insertion makes this an attractive Phim modulation technique for Ni-FUSI gates on SiO2 and high-k dielectrics  相似文献   

10.
The electrical properties of p- and n-MOS devices fabricated on germanium with metal-organic chemical-vapor-deposition HfO2 as gate dielectric and silicon passivation (SP) as surface treatment are extensively investigated. Surface treatment prior to high-K deposition is critical to achieve small gate leakage currents as well as small equivalent oxide thicknesses. The SP provides improved interface quality compared to the treatment of surface nitridation, particularly for the gate stacks on p-type substrate. Both Ge p- and n-MOSFETs with HfO2 gate dielectrics are demonstrated with SP. The measured hole mobility is 82% higher than that of the universal SiO2/Si system at high electric field (~0.6 MV/cm), and about 61% improvement in peak electron mobility of Ge n-channel MOSFET over the CVD HfO2 /Si system was achieved. Finally, bias temperature-instability (BTI) degradation of Ge MOSFETs is characterized in comparison with the silicon control devices. Less negative BTI degradation is observed in the Ge SP p-MOSFET than the silicon control devices due to the larger valence-band offset, while larger positive BTI degradation in the Ge SP n-MOSFET than the silicon control is characterized probably due to the low-processing temperature during the device fabrication  相似文献   

11.
The effects of in situ O2 plasma treatment on device characteristics and reliability of metal-gate/high-k devices are investigated systematically. It was found that the O2 plasma treatment can be employed for mitigating the formation of a leakage path between the high-k dielectric and the capping nitride layer. It also did not change the threshold voltage (Vth), carrier mobility, or equivalent oxide thickness. Compared with the control samples, the O2 plasma-treated samples achieved a 20-times lower OFF-state current and enhanced hot-carrier-injection stress immunity.  相似文献   

12.
Electrical properties of MOSFETs with gate dielectrics of low-pressure chemical-vapor-deposited (LPCVD) SiO2 nitrided in N2O ambient are compared to those with control thermal gate oxide. N2O nitridation of CVD oxide, combines the advantages of interfacial oxynitride growth and the defectless nature of CVD oxide. As a result, devices with N2O-nitrided CVD oxide show considerably enhanced performance (higher effective electron mobility), improved reliability (reduced charge trapping, interface state generation, and transconductance degradation), and better time-dependent dielectric breakdown (TDDB) properties (tBD ) compared to devices with control thermal oxide  相似文献   

13.
The authors report that the boron penetration through the thin gate oxide into the Si substrate does not only cause a large threshold voltage shift but also induces a large degradation in the Si/SiO2 interface. An atomically flat Si/SiO2 interface can be easily obtained by using a stacked-amorphous-silicon (SAS) film as the gate structure for p+ poly-Si gate MOS devices even with the annealing temperature as high as 1000°C  相似文献   

14.
Plasma-charging damage on gate dielectrics of MOS devices is an important issue because of shrinking dimension, plasma nonuniformity, and effects on high-k gate dielectrics. A comprehensive study of plasma-charging effects on the electrical properties of MOS devices was investigated in this work. Shunt diodes were used to estimate the charging polarity distribution. For high-frequency application, the 1/f noise was found to be a promising index for assessing plasma-charging damage. Gate oxynitride formed by two-step nitridation was demonstrated to have better electrical reliability as compared to the conventional one-step nitridation, especially accompanied by amorphous silicon gate electrode. This improvement could be attributed to the relaxation of interface stress by amorphous silicon gate electrode and the suppression of hydrogen effects by gate oxynitride using two-step nitridation. Plasma-charging damage on Si3N4 and Ta2O5 gate dielectrics with high dielectric constant was also investigated. For MOS devices with Si3N4 film, the leakier characteristic and shorter time to breakdown reveal its inferior reliability. For MOS devices with Ta2O5 gate dielectric, the trap-assisted current mechanism makes a thicker physical thickness of Ta2O5 film more susceptible to plasma-charging-induced damage. Smaller physical thickness of Ta2O5 film in MOS devices is favorable due to the better reliability and comparable plasma-induced electrical degradation  相似文献   

15.
Advances in lithography and thinner SiO2 gate oxides have enabled the scaling of MOS technologies to sub-0.25-μm feature size. High dielectric constant materials, such as Ta2O5 , have been suggested as a substitute for SiO2 as the gate material beyond tox≈25 Å. However, the Si-Ta 2O5 material system suffers from unacceptable levels of bulk fixed charge, high density of interface trap states, and low silicon interface carrier mobility. In this paper we present a solution to these issues through a novel synthesis of a thermally grown SiO2(10 Å)-Ta2O5 (MOCVD-50 Å)-SiO2 (LPCVD-5 Å) stacked dielectric. Transistors fabricated using this stacked gate dielectric exhibit excellent subthreshold behaviour, saturation characteristics, and drive currents  相似文献   

16.
N-channel metal oxide semiconductor field effect transistors with Ta2O5 gate dielectric were fabricated. The Ta2O5/silicon barrier height was calculated using both the lucky electron model and the thermionic emission model. Based on the lucky electron model, a barrier height of 0.77 eV was extracted from the slope of the ln(Ig/Id) versus ln(Isub/Id) plot using an impact ionization energy of 1.3 eV. Due to the low barrier height, the application of Ta2 O5 gate dielectric transistors is limited to low supply voltage preferably less than 2.0 V  相似文献   

17.
The effects of thermal processes after silicidation on the gate depletion, threshold voltage (Vth) shift, drive current, and sheet resistance of TiSi2/polysilicon (Ti-polycide) gate devices are evaluated. The dopant depletion of the polysilicon film, which is known to increase the Vth and to degrade the drive-current, increases with increasing temperature of the post-thermal process. However, the Vth roll-off characteristic in nMOSFETs is enhanced with increasing temperature. Furthermore, the drive-current is significantly degraded by the gate reoxidation process. The sheet resistance of the Ti-polycide gate increases with gate reoxidation as well as with increased post-thermal processes  相似文献   

18.
In this paper, we describe a systematic study of the electrical properties of low-temperature-compatible p-channel polycrystalline-silicon thin-film transistors (poly-Si TFTs) using HfO2 and HfSiOx, high-k gate dielectrics. Because of their larger gate capacitance density, the TFTs containing the high-k gate dielectrics exhibited superior device performance in terms of higher Ion/Ioff current ratios, lower subthreshold swings (SSs), and lower threshold voltages (Vth), relative to conventional deposited-SiO2, albeit with slightly higher OFF-state currents. The TFTs incorporating HfSiOx, as the gate dielectric had ca. 1.73 times the mobility (muFE) relative to that of the deposited-SiO2 TFTs; in contrast, the HfO2 TFTs exhibited inferior mobility. We investigated the mechanism for the mobility degradation in these HfO2 TFTs. The immunity of the HfSiOx, TFTs was better than that of the HfO2 TFTs-in terms of their Vth shift, SS degradation, muFE degradation, and drive current deterioration-against negative bias temperature instability stressing. Thus, we believe that HfSiOx, rather than HfO2, is a potential candidate for use as a gate-dielectric material in future high-performance poly-Si TFTs.  相似文献   

19.
Spatial Distributions of Trapping Centers in HfO2/SiO2 Gate Stack   总被引:1,自引:0,他引:1  
An analysis methodology for charge pumping (CP) measurements was developed and applied to extract spatial distributions of traps in SiO 2/HfO2 gate stacks. This analysis indicates that the traps accessible by CP measurements in the frequency range down to a few kilohertz are located primarily within the SiO2 layer and HfO2/SiO2 interface region. The trap density in the SiO2 layer increases closer to the high-kappa dielectric, while the trap spatial profile as a function of the distance from the high-kappa film was found to be dependent on high-kappa film characteristics. These results point to interactions with the high-kappa dielectric as a cause of trap generation in the interfacial SiO2 layer  相似文献   

20.
A novel technique to control the nitrogen profile in HfO2 gate dielectric was developed using a reactive sputtering method. The incorporation of nitrogen in the upper layer of HfO2 was achieved by sputter depositing a thin HfxNy layer on HfO2, followed by reoxidation. This technique resulted in an improved output characteristics compared to the control sample. Leakage current density was significantly reduced by two orders of magnitude. The thermal stability in terms of structural and electrical properties was also enhanced, indicating that the nitrogen-doped process is effective in preventing oxygen diffusion through HfO2. Boron penetration immunity was also improved by nitrogen-incorporation. It is concluded that the nitrogen-incorporation process is a promising technique to obtain high-k dielectric with thin equivalent oxide thickness and good interfacial quality  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号