首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
AlN thin films were deposited on c-,a-and r-plane sapphire substrates by the magnetron sputtering technique.The in-fluence of high-temperature thermal annealing(HTTA)on the structural,optical properties as well as surface stoichiometry were comprehensively investigated.The significant narrowing of the(0002)diffraction peak to as low as 68 arcsec of AlN after HTTA implies a reduction of tilt component inside the AlN thin films,and consequently much-reduced dislocation densities.This is also supported by the appearance of E2(high)Raman peak and better Al-N stoichiometry after HTTA.Furthermore,the in-creased absorption edge after HTTA suggests a reduction of point defects acting as the absorption centers.It is concluded that HTTA is a universal post-treatment technique in improving the crystalline quality of sputtered AlN regardless of sapphire orienta-tion.  相似文献   

2.
Device-quality GaAs thin films have been grown on miscut Ge-on-Si substrates by metal-organic chemical vapor deposition. A method of two-step epitaxy of GaAs is performed to achieve a high-quality top-layer. The initial thin buffer layer at 360 ℃ is critical for the suppression of anti-phase boundaries and threading dislocations. The etch pit density ofGaAs epilayers by KOH etching could reach 2.25 × 10^5 cm^-2 and high-quality GaAs top epilayers are observed by transmission electron microscopy. The band-to-band photoluminescence property of GaAs epilayers on different substrates is also investigated and negative band shifts of several to tens of meVs are found because of tensile strains in the GaAs epilayers. To achieve a smooth surface, a polishing process is performed, followed by a second epitaxy of GaAs. The root-mean-square roughness of the GaAs surface could be less than 1 nm, which is comparable with that of homo-epitaxial GaAs. These low-defect and smooth GaAs epilayers on Si are desirable for GaAs-based devices on silicon substrates.  相似文献   

3.
We address combined utilization of temperature dependent reflectance, photo-luminescence, and Raman spectroscopy measurements to optimize the struc-tural and electronic properties of GaN epilayers deposited on sapphire. Last, we study residual strain fields in such epilayers.  相似文献   

4.
We have studied the growth of gallium nitride on c-plane sapphire substrates. The layers were grown in a horizontal metalorganic chemical vapor deposition reactor at atmospheric pressure using trimethylgallium (TMG) and ammonia (NH3). Variation of the V/III ratio (150–2500) shows a distinct effect on the growth rate. With decreasing V/III ratio, we find an increasing growth rate. Variation of the growth temperature (700–1000°C) shows a weak increase in growth rate with temperature. Furthermore, we performed secondary ion mass spectroscopy measurements and find an increasing carbon incorporation in the GaN films with decreasing ammonia partial pressure and a growing accumulation of carbon at the substrate interface. Photoluminescence measurements show that samples with high carbon content show a strong yellow luminescence peaking at 2.2 eV and a near band gap emission at 3.31 eV. With increasing carbon content, the intensity of the 3.31 eV line increases suggesting that a carbon related center is involved.  相似文献   

5.
The AlxGa1-xN/AlyGa1-yN multiple quantum well (MQW) structure for deep ultraviolet emission has been grown on sapphire by metal organic chemical vapor deposition (MOCVD).High resolution X-ray diffraction (HRXRD), atomic force microscopy (AFM), and cath-odoluminescence (CL) are used to characterize the structural and optical properties of MQWs, respectively.Clear step flows can be observed in the AFM image indicating a two-dimensional growth model.There are many cracks on the surface of the MQW structure because of the high tensile stress.HRXRD shows multiple satellite peaks to the 2rid order.The HRXRD simulation shows that the MQW period is about 11.5 nm.The emission peak of AlxGa1-xN/AlyGa1-yN MQWs is about 295 nm in the deep ultraviolet region from the CL spectra.  相似文献   

6.
In this work, we have characterized various types of polysilicon films, crystallized upon thermal annealing from films deposited by low pressure chemical vapor deposition in the amorphous phase and a mixed phase using silane or in the amorphous phase using disilane. Polysilicon thin film transistors (TFTs) were fabricated, at low processing temperatures, in these three types of films on high strain point Corning Code 1734 and 1735 glass substrates. Double layer films, with the bottom layer deposited in a mixed phase and the top in the amorphous phase, allowed TFT fabrication at a drastically reduced thermal budget; optimum values of thicknesses and deposition rates of the layers are reported for reducing the crystallization time and improving film quality. Optimum deposition conditions for TFT fabrication were also obtained for films deposited using disilane. The grain size distribution for all types of films was shown to be wider for a larger grain size. Fabricated TFTs exhibited field effect electron mobility values in the range of 20 to 50 cm2/V·s, subthreshold swings of about 0.5–1.5 V/dec and threshold voltage values of 2–4 V.  相似文献   

7.
Efficient light generation and amplification has long been missing on the silicon platform due to its well-known indirect bandgap nature.Driven by the size,weight,power and cost(SWaP-C)requirements,the desire to fully realize integrated silicon electronic and photonic integrated circuits has greatly pushed the effort of realizing high performance on-chip lasers and amplifiers moving forward.Several approaches have been proposed and demonstrated to address this issue.In this paper,a brief overview of recent progress of the high-performance lasers and amplifiers on Si based on different technology is presented.Representative device demonstrations,including ultra-narrow linewidthⅢ-Ⅴ/Si lasers,fully integratedⅢ-Ⅴ/Si/Si3N4 lasers,high-channel count mode locked quantum dot(QD)lasers,and high gain QD amplifiers will be covered.  相似文献   

8.
We report the growth and characterization of unintentionally doped GaN on both exact and vicinal (0001) sapphire substrates. The GaN heteroepitaxial layers are grown by metalorganic chemical vapor deposition on c-plane A12O3 substrates either on-axis or intentionally misoriented 2° toward the a-plane (1120) or 5 or 9° toward the m-plane (10 10). The samples are characterized by 300K photoluminescence, cathodoluminescence, and Hall-effect measurements as well as by triple-axis x-ray diffractometry to determine the effect of the misorientation on the optical, electrical, and structural properties of heteroepitaxial undoped GaN. Ten different sample sets are studied. The data reveal enhanced photo-luminescence properties, increased electron mobility, a reduced n-type background carrier concentration, and a somewhat degraded surface morphology and crystalline quality for the misoriented samples compared to the on-axis samples.  相似文献   

9.
ZnO nanotips have been grown on Si (100) using metalorganic chemical vapor deposition (MOCVD). The growth temperature is optimized for good crystallinity, morphology, and optical properties. ZnO nanotips exhibit a strong near band edge emission of ∼376 nm at room temperature with negligible green band emission. Pregrowth substrate treatment using diluted hydrofluoric acid (HF) and minimized oxygen exposure before the initial growth significantly reduces the interfacial SiO2 thickness, while maintaining good morphology. An n-ZnO nanotips/p-Si diode is fabricated and its I–V characteristic is measured. The threshold voltage of the diode is found to be below 2.0 V with small reverse leakage current. The ZnO/p-Si diodes provide the possibility of integrating the ZnO nanotips with Si-based electronic devices.  相似文献   

10.
Reactive sputtering with Ar/N2 mixture gas was introduced to improve stoichiometry of p-type transparent CuCrO2 films, and effects of N2 partial pressure ratio (αN) on optical and structural properties were investigated. Film composition was changed from Cu rich (i.e. Cr poor) to Cr rich (i.e. Cu poor) by N2 addition, and the stoichiometric film was grown at αN of about 20%. Although N atoms were not incorporated into the films from analyses of crystal structure and chemical bonding state, both transmittance from visible to near-infrared wavelength and crystallinity were improved at αN up to 10%. These improvements were attributed to suppression of the CuO formation and promotion of the O-Cu-O dumbbell bonds formation. This was confirmed by the decrease of diffraction intensity from CuO and the increase of vibrational intensity corresponding A1g mode. From these results, it can be considered that N atoms decreased not only Cu but also excess O in the film. At αN of 20% or above, transmittance at wavelength of 450 nm and crystallinity deteriorated. This is supposed that excessive N2 addition probably generated both O and Cu deficiencies. As a result, it was found that slightly Cu-rich composition is suitable to obtain high-transparency CuCrO2 thin films for practical use.  相似文献   

11.
We report on the properties of a novel insulator, AlO:N for application in semiconductors produced by thermally oxidizing AlN thin films. The process steps were similar to those used for SiO2, creating the possibility of a new technology for metal-insulator-semiconductor field effect devices and integrated circuits. Thin films of AlN were deposited by radio-frequency magnetron reactive sputtering on p-type silicon or fused quartz substrates. As-deposited AlN film thickness ranged from 0.05 to 0.7 μm, with polycrystalline structure revealed by x-ray diffraction. Oxidation was performed under O2 flow at 800 to 1100°C for 1–4 h. AlN films were oxidized partially or fully into Al2O3, depending on initial thickness, oxidation temperature and time. X-ray diffraction indicates the presence of several phases of Al2O3 at 1000°C, whereas at 1100°C, only the α-Al2O3 phase was found. Considering the importance of surface field effect device applications, the surfaces of oxidized films were examined with atomic force microscopy in air, and a clear change was observed in the surface structure of the oxidized film from that of as-deposited AlN films. Capacitance-voltage measurements of metal-oxide-semiconductor structures yielded a dielectric constant of AlO:N between 8–12 and a net oxide-trapped-charge density of ∼1011 cm−2. Using Fourier transform infrared spectrometry transmittance and reflectance, some α-Al2O3 modes were observed. In this paper, we describe the general properties of the oxide thin films, bulk and interface, at different temperatures.  相似文献   

12.
Structural analysis and optical spectroscopy are used to study the properties of ultrathin Al2O3 films deposited in an ion-plasma sputtering installation. It is possible to demonstrate that the technological method used to deposit the films can yield amorphous, smooth, pore-free, and almost homogeneous films in which crystals of the α phase of aluminum oxide Al2O3 nucleate. The films transmit light extremely well in the IR (infrared), visible, and UV spectral ranges and are of potential importance for the development on their basis of antireflection coatings for mirrors of high-power semiconductor lasers based on III–V compounds.  相似文献   

13.
Various silicon surface cleaning processes for rapid thermal in-situ polysilicon/ oxide/silicon stacked gate structures have been evaluated. Metal-oxide-semiconductor capacitors were fabricated to assess the effects of cleaning on the quality of gate oxide structures produced by both rapid thermal oxidation (RTO) and rapid thermal chemical vapor deposition (RTCVD). Excellent electrical properties have been achieved for both RTO and RTCVD gate oxides formed on silicon wafers using either an ultraviole/zone (UV/O3) treatment or a modified RCA clean. On the contrary, poor electrical properties have been observed for RTO and RTCVD gate oxides formed on silicon wafers using a high temperature bake in Ar, H2, or high vacuum ambient. It has also been found that the electrical properties of the RTCVD gate oxides exhibit less dependence upon cleaning conditions than those of RTO gate oxides. This work demonstrates that initial surface condition prior to gate oxide formation plays an important role in determining the quality of RTO and RTCVD gate oxides.  相似文献   

14.
采用化学水浴法和磁控溅射法分别在AZO、FTO、ITO透明导电玻璃衬底上制备了CdS薄膜,利用扫描电镜、XRD以及透射光谱等测试手段,研究了两种制备方法对不同衬底生长CdS薄膜形貌、结构和光学性能的影响.研究结果表明,不同方法制备的CdS薄膜表面形貌均依赖于衬底的类型,水浴法制备的CdS薄膜晶粒度较大,表面相对粗糙.不同方法制备的CdS薄膜均为立方相和六角相的混相结构,溅射法制备的多晶薄膜衍射峰清晰、尖锐,结晶性较好.水浴法制备的CdS薄膜透过率整体低于溅射法,但在短波处优势明显.  相似文献   

15.
用磁控溅射的方法在透明导电氧化物衬底上制备了CdS薄膜,制备时的衬底温度为30~200℃.X射线衍射测试结果表明在这一条件下制备的CdS薄膜是六角纤锌矿的多晶结构.扫描电子显微镜结果显示薄膜具有较好的晶体质量,这一结论也和拉曼光谱、紫外-可见吸收光谱、光致发光光谱的结果一致.拉曼光谱显示CdS薄膜内部的压应力随着制备温度的提高而增大.  相似文献   

16.
溅射ZnO薄膜钝化GaAs表面性能的研究   总被引:2,自引:2,他引:0  
为了改善GaAs(110)与自身 氧化物界面由于高表面态密度而引起的费米能级钉扎(pinning)问题 ,提出采用射频磁控溅射技 术在GaAs(110)衬底上沉积一定厚度 ZnO薄膜作为钝化层,并利用光 致发光(PL)光谱和X射线光电子能谱(XPS) 等方法对ZnO薄膜的光学特性及钝化性能进行表征。实验结果表明,经ZnO薄膜钝化后的 GaAs样品,其本征PL峰强度提高112.5%,杂质峰强度下降82.4%。XPS光谱分析表明,Ga和As原子的比值从1.47降低 到0.94,ZnO钝化层能 够抑制Ga和As的氧化物形成。因此,在GaAs表面沉积ZnO薄膜是一种可行的GaAs表面钝化 方法。  相似文献   

17.
Semiconductors - The structural and optical properties of thin Ge films deposited onto semiconducting and insulating substrates and modified by pulsed laser radiation are studied. The films are...  相似文献   

18.
MBE growth and properties of ZnO on sapphire and SiC substrates   总被引:9,自引:0,他引:9  
Molecular beam epitaxy (MBE) of ZnO on both sapphire and SiC substrates has been demonstrated. ZnO was used as a buffer layer for the epitaxial growth of GaN. ZnO is a würtzite crystal with a close lattice match (<2% mismatch) to GaN, an energy gap of 3.3 eV at room temperature, a low predicted conduction band offset to both GaN and SiC, and high electron conductivity. ZnO is relatively soft compared to the nitride semiconductors and is expected to act as a compliant buffer layer. Inductively coupled radio frequency plasma sources were used to generate active beams of nitrogen and oxygen for MBE growth. Characterization of the oxygen plasma by optical emission spectroscopy clearly indicated significant dissociation of O2 into atomic oxygen. Reflected high energy electron diffraction (RHEED) of the ZnO growth surface showed a two-dimensional growth. ZnO layers had n-type carrier concentration of 9 × 1018 cm−3 with an electron mobility of 260 cm2/V-s. Initial I-V measurements displayed ohmic behavior across the SiC/ZnO and the ZnO/GaN heterointerfaces. RHEED of GaN growth by MBE on the ZnO buffer layers also exhibited a two-dimensional growth. We have demonstrated the viability of using ZnO as a buffer layer for the MBE growth of GaN.  相似文献   

19.
A comparative study of deposition nanocrystalline silicon (nc-Si) on various buffer layers is investigated. The nc-Si films were deposited in a hot-wire chemical vapor deposition (CVD) system. Through Hall measurement, scanning electron microscopy (SEM), atomic force microscope (AFM), Raman, and x-ray diffraction (XRD) analyses, it was found that the columnar grain (CG) size, mobility, and volume fraction of crystalline-deposited nc-Si films increase with an increase of the buffer layers’ surface roughness. The nc-Si film deposited on the nc-Si buffer layer possesses the highest Xc (volume fraction of crystalline) of 84.32%, Hall mobility of 45.9 (cm2/V s), and CG size of 200–220 nm, and it shows the strongest intensity of the XRD diffraction peak in (111).  相似文献   

20.
Selective Area Epitaxy (SAE) is the process of locally depositing a semiconductor film on a substrate which has been patterned with an inert masking material such as SiO2. During deposition by metalorganic chemical vapor deposition (MOCVD), the build up of precursors over the SiO2 mask causes material to diffuse into the open areas leading to a growth rate increase. SAE is an important technique for electronic and photonic device fabrication, and for the monolithic integration of these devices. The present work is a single comprehensive study, which reports on the impact of all major MOCVD parameters to SAE indium phosphide films. The parameters include pressure, V/III pressure ratio, growth rate, temperature and mask geometry.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号