首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Wet pyrogenic oxide of different thicknesses was annealed in N2O ambient and the N concentration in the films was studied by using SIMS (secondary ion mass spectroscopy). It was found that for a certain annealing time and temperature, the N concentration (at %) increases with decreasing wet oxide thickness and the location of the peak of N is observed near the interface of nitrided oxide and Si substrate. On the contrary, after nitridation the concentration of H is higher in the thicker wet oxide of thickness 100 Å and also does not change much from the surface to the interface. For the thinner wet oxide of thickness 40 Å, the concentration of H is less and decreases toward the interface. Gate dielectrics were characterized using high-frequency and quasi-static measurements. After a constant current stress, a large distortion was observed for the N2O annealed wet oxide of 98 Å whereas for the N2O annealed wet oxide of 51 Å the distortion was small. With increasing stressing time, hole trap is followed by electron trapping for the wet oxide of 98 Å whereas for the N2O annealed wet oxide of 51 Å, hole trapping increases a little at the beginning and then saturates. From the TDDB characteristics, a longer tBD was observed for N2O annealed wet oxide of 51 Å compared to 98 Å. From the experimental results, it can be suggested that the improved reliability of thin gate oxide is due to the large amount of N concentration near the interface only. Hence for the device fabrication process, if the wet oxide is nitrided in N2O ambient, the reliability of gate oxide will be improved in the ultrathin region  相似文献   

2.
MIS capacitors on n-type silicon substrate with thin oxide films thermally nitrided in NH3gas ambient at different temperatures and for different times have been fabricated. The effects of nitridation temperature and time on the properties of the thin nitrided oxide films have been examined and analyzed by using a constant current stress. It is found that the oxide films nitrided at 900°C exhibit much improved total charge to breakdown and interface trap generation if proper nitridation time is used. The superior characteristics of the fabricated nitrided oxide films using the proposed optimum conditions are suitable for existing CMOS/VLSI applications.  相似文献   

3.
The degradation induced by substrate hot electron (SHE) injection in 0.13-/spl mu/m nMOSFETs with ultrathin (/spl sim/2.0 nm) plasma nitrided gate dielectric was studied. Compared to the conventional thermal oxide, the ultrathin nitrided gate dielectric is found to be more vulnerable to SHE stress, resulting in enhanced threshold voltage (V/sub t/) shift and transconductance (G/sub m/) reduction. The severity of the enhanced degradation increases with increasing nitrogen content in gate dielectric with prolonged nitridation time. While the SHE-induced degradation is found to be strongly related to the injected electron energy for both conventional oxide , and plasma-nitrided oxide, dramatic degradation in threshold voltage shift for nitrided oxide is found to occur at a lower substrate bias magnitude (/spl sim/-1 V), compared to thermal oxide (/spl sim/-1.5 V). This enhanced degradation by negative substrate bias in nMOSFETs with plasma-nitrided gate dielectric is attributed to a higher concentration of paramagnetic electron trap precursors introduced during plasma nitridation.  相似文献   

4.
We investigated the formation of the thin NO dielectric films by in-situ nitridation of native oxide, and subsequent deposition of silicon nitride in the low pressure chemical vapor deposition systems for the application to the capacitors in high density dynamic random access memory. The native oxide was nitrided at elevated temperatures of 690 or 780°C in the flowing ammonia gas atmosphere, and nitride was deposited by flowing silane gas additionally immediately after the nitridation process. By in-situ nitridation process, we could obtaine 5 and 4.5 nm thick (equivalent oxide thickness) nitride/oxide (NO) dielectric films. These films were characterized to be electrically more reliable than the conventional oxide/nitride/oxide (ONO) films of the same equivalent oxide thickness. The nitrided NO films also showed lower leakage current and higher breakdown voltage than conventional ONO films. We obtained electrically most reliable NO films by loading the wafer at 400°C and nitriding the native oxide at 780°C.  相似文献   

5.
In this work the effect of nitridation on the reliability of thick (60 nm) gate oxides used in discrete power MOSFETs is investigated. Nitridation was carried out by post-oxidation anneal in N2O at 1000 °C. Secondary ion mass spectroscopy characterization did show that the nitrogen resulting from N2O nitridation piles up in the oxide at the Si–SiO2 interface regardless of nitridation time. The results obtained show improved breakdown field (Ebd), and charge-to-breakdown (Qbd) characteristics for nitrided thick oxides. Also, lower mid-bandgap interface trap density (Dit) was observed in the case of nitrided oxides. Key conclusion from this experiment is that nitridation of thick (>50 nm) gate oxide performed to suppress boron penetration into the MOSFET channel region is not having an adverse effect on its electrical characteristics.  相似文献   

6.
Ultrathin oxides (5-12 nm) were nitrided by lamp-heated rapid thermal annealing in ammonia at temperatures of 900-1150°C for 5-300 s. Elemental depth profiles were measured by Auger electron spectroscopy (AES) and secondary ion mass spectroscopy (SIMS). Both the nitrogen concentration measured by AES and the hydrogen one measured by SIMS for a nitrided oxide are found to increase monotonically as nitridation proceeds. The AES depth profiles of oxygen show that the Si-SiO2interface does not move during nitridation. Dependences of midgap interface state density (D_{it}_{m}) and fixed charge density (Nf) on nitridation temperature and on oxide thickness were studied. For a given temperature, bothD_{it}_{m}and (Nf) are found to show turnarounds as nitridation time increases in a similar manner: at first both increase, reach respective maxima at a certain nitridation timet_{max}, and then decrease gradually. The (D_{it}_{m}) and (Nf) increase more rapidly and thet_{max}is shorter as the nitridation temperature is raised or the oxide film is thinner. The maximum ofD_{it}_{m}increases as the oxide film is thinner. A two-step model is newly proposed to explain the turn-around behaviors ofD_{it}_{m}and Nf: the first step is defect formation as a result of nitrogen incorporation and the second step is reduction of the defects by an annealing-type process. The simulation reproduces the turnaround behaviors very well.  相似文献   

7.
Plasma nitridation of thermally grown oxide films has proven to be an excellent gate dielectric in meeting the electrical requirements of the 65 nm node. As the 65 nm device performance is very sensitive to both physical thickness and nitrogen dose of these dielectric films, it is highly desirable to predict the electrical properties of such films. We present a simple physical model to forecast the capacitance-equivalent thickness (CET) of nMOS devices for 65 nm technology. The model is based on the total nitrogen dose and the dielectric physical thickness, both given by in-line X-ray photoelectron spectroscopy (XPS) measurement of the plasma nitrided gate dielectric. This model uses an estimated gate oxide dielectric constant, the gate depletion capacitance and the inversion layer capacitance. A good correlation is obtained between calculated and measured CET for plasma nitrided oxides from 19 to 30 Å CET and for a large range of incorporated nitrogen doses.  相似文献   

8.
Electron trapping and trap center generation within thermally nitrided oxides are investigated using Fowler-Nordheim constant current stress and avalanche electron injection methods. The results show that electron traps created in the films during the nitridation increase with the nitridation time. The positive charges build up near the interface accompanied with the generation of the new electron trap centers in the films under Fowler-Nordheim tunneling stress. The generation mechanisms are proposed in this paper.  相似文献   

9.
《Microelectronic Engineering》2007,84(9-10):1972-1975
In this work we investigate the effect of nitridation on HfSiO’s degradation under positive constant voltage stress. A comparison between decoupled plasma nitridation, annealing in NH3 and no nitridation is made. Stress induced leakage current dominates a wear-out phase before the final hard breakdown, regardless of nitridation. However, this progressive breakdown phase is more pronounced in NH3 annealed samples resulting in 60 times gate current increase after 10 years, 3 times higher compared to decoupled plasma nitridation and no nitridation. On the other hand, a shorter wear out phase is responsible for faster breakdown in plasma nitrided samples.  相似文献   

10.
We have investigated gate and drain current noise on strained-channel n-MOSFETs with a SiGe virtual substrate and a 12 Å thermally nitrided gate oxide using low frequency noise measurements. The power spectral densities (PSD) of the flat-band voltage fluctuations are extracted from both gate and drain current noise. We show that the same oxide trap density profile is involved in drain and gate low frequency noise. A comparison with standard n-MOSFET transistors with the same gate stack process is presented. The flat-band voltage PSD concept is also used to compare both technologies to show that bulk and dielectric quality of strained devices are not degraded with regard to standard n-MOSFETs.  相似文献   

11.
To evaluate the reliability of thin thermally grown oxide films, we examined their intrinsic breakdown characteristics and investigated oxide defects in them using ultra-thin oxides (3-10 nm). It is demonstrated that the breakdown time of oxide films becomes longer as the film thickness is decreased. Through the use of an electron trap generation model, we were able to explain this phenomenon and estimate the breakdown time under low electric field or low current conditions. Furthermore, we were able to determine that, with decreasing film thickness, the defect density of the initial short mode increases, while that of the weak-spot mode decreases.  相似文献   

12.
A detailed study of the carrier trapping properties shown by the silicon/oxynitride/oxide gate layers in PowerVDMOS technologies is reported. A quantitative analysis of hole and electron trap densities versus the specific N2O based nitridation process, extracted from Fowler–Nordheim constant current stress kinetics, allows a deep understanding of the role played by those defects in the susceptibility of every nitrided layer.  相似文献   

13.
Device-quality gate oxides have been nitrided using both rapid thermal processing and conventional furnace treatment. Charge trapping and high-field endurance including breakdown field and time-dependent dielectric breakdown, are investigated in detail. It is found that proper nitridation can eliminate positive charge accumulation in oxides, increase charge to breakdown, suppress high-field injection-induced interface state generation, and decrease the dependence of the breakdown field on the gate area as a result of the reduced density of microdefects. Experimental results show that although both the density and capture cross-section of the bulk and interface traps increased by nitridation, the combined effects of bulk and interface traps induced by high-field injection can improve the stability of the flatband voltage. For lightly nitrided oxides, the trap generation rate is greatly decreased as compared with the as-grown oxide. Not only are the density and capture cross-section of the traps affected by nitridation, but also the locations of the trapped-charge centroids are changed. The experimental results for postnitridation annealing suggest that these property modifications most likely result from nitridation-induced structural changes rather than hydrogenation alone  相似文献   

14.
A comparative study of neutral electron-trap generation due to hot-carrier stress in n-MOSFETs with pure oxide, NH3-nitrided oxide (RTN), and reoxidized nitrided oxide (RTN/RTO) as gate dielectrics is reported. Results show that neutral electron trap generation is considerably suppressed by nitridation and reoxidation. The nature of neutral traps is described based on the kinetics of trap filling by electron injection into the gate dielectrics immediately after channel hot-electron stress (CHES). Improved endurance of the RTN and RTN/RTO oxides is explained using physical models related to interfacial strain relaxation  相似文献   

15.
The drift or “walk-out” of the breakdown voltage in 6H-SiC mesa diodes passivated by a double layer of 1000 Å SiO2 and 3000 Å Si3N4 was studied and related to the charge trapping in the oxide. The first-order trapping kinetics using four distinct electron traps with trapping cross-sections in the range 10−16 to 10−19 cm2 were found to best describe the breakdown voltage drift curves. The wet oxide trapping cross-sections are 2 to 10 times larger compared to the dry oxide ones, resulting in about one order of magnitude faster charging of the traps. No significant differences in the amount of drift and saturation level of breakdown voltage were found between the different passivations. The influence of UV illumination, supplied by a HeCd laser with wavelength 325 nm, on the walk-out characteristics and on the reverse current was also investigated. The build-up of the surface states was observed in wet oxide under UV illumination and DC stress. The results are consistent with the coexistence of large concentrations of positive charge and acceptor type deep interface electron traps. The walk-out is a result of the acceptor states being filled by hot electrons supplied by the mechanism of avalanche injection. The suitability of the walk-out measurements as a tool for characterisation of the charge trapping properties of the passivation is demonstrated.  相似文献   

16.
The radiation hardness of MOS capacitors with various reoxidized nitrided oxide (RNO) structures was studied by changing the duration of rapid thermal processes during sample preparation and by applying irradiation-then-anneal (ITA) treatments on samples after preparation. It was found that the initial flatband voltage and midgap interface trap density of MOS capacitors exhibit turnaround dependency on the total time of nitridation and reoxidation processes. For samples with nitrided oxide (NO) structures, the radiation-induced variations of the above parameters are also turnaround-dependent on nitridation time. However, when the reoxidation process is performed, the radiation hardness for all samples is gradually improved with increasing reoxidation time no matter when the nitridation time. The most radiation-hard process for RNO structures is suggested. Finally, it was found that when ITA treatments are applied on samples after preparation, their radiation hardness is much improved  相似文献   

17.
Ultrathin gate and tunnel oxides in MOS devices are subjected to high-field stress during device operation, which degrades the oxide and eventually causes dielectric breakdown. Oxide reliability, therefore, is a key concern in technology scaling for ultra-large scale integration (ULSI). Here we provide critical new insight into oxide degradation (and consequently, reliability) by a systematic study of five technologically relevant parameters, namely, stress-current density, oxide thickness, stress temperature, charge-injection polarity (gate versus substrate), and nitridation of pure oxide. For all five parameters, a strong correlation has been observed between oxide degradation and the generation of new traps (distinct from the filling of intrinsic traps). Further, we observe that this correlation is independent of the trap polarity (positive versus negative). Based on this correlation, and based on the fundamental link between electronic properties and atomic structure, a physical-damage model of dielectric breakdown has been proposed. The concept of the physical-damage model is that the oxide suffers dielectric breakdown when physical damage due to broken bonds forms a defect-filled filamentary path in the oxide, that conducts excessive current. A good monitor of this physical damage is trap generation, which we believe is caused by physical bond breaking in the oxide and at the interface. The model has been quantified empirically by the correlation between trap generation and Qbd  相似文献   

18.
This paper presents oxide trap characterization of nitrided and non-nitrided gate oxide N-MOSFETs using low frequency noise (LFN) measurements. The identification of defects generated by the gate oxide growth and the nitridation process is carried out using random telegraph signal noise analysis. Significant properties of traps induced by the nitridation process are pointed out. Main trap parameters, such as their nature, capture and emission times, cross-sections, energy levels, and position with respect to the Si/SiO2 interface, are extracted. These results illustrate the potential of noise investigation for oxide characterizations.  相似文献   

19.
In this study we report for the first time results on neutral electron trap generation in reoxidised nitrided oxide dielectrics under various radiation doses and bias conditions and compare the results with the conventional oxides. We see very little electron trap creation in RNO dielectrics for radiation doses up to 5 Mrad (Si) and for bias fields up to ±2.5 MV/cm. We explain our results in RNO and oxide dielectrics using a three step defect creation model  相似文献   

20.
For the first time, the feasibility of ultrathin oxides grown by high pressure oxidation (HIPOX) technology in O2 ambient and nitrided in N2O ambient with rapid thermal processing has been investigated in order for them to be used as a gate oxide of ULSI devices. The dielectric breakdown electric field (E BR) and the midgap interface trap density (D itm) of the nitrided-HIPOX oxide are ?13:9MVcm?1 and 2 × 1010cm?2eV?1 respectively which are almost the same as those of the control oxide and the nitrided-control oxide. The time-tobreakdown (tBD) of the nitrided-HIPOX oxide is longer than that of the control oxide at low electric field (<10?4 A cm?2) owing to the combination of nitrogen and defects near the Si?SiO2 interface during nitridation. The lifetimes of the nitrided-HIPOX oxides increase initially, reaching a maximum value of 1:2 × 109 s at a stress current density of 1 × 10?6 A cm?2,corresponding to over 10 years, and then decrease as nitridation proceeds.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号