首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 125 毫秒
1.
针对CAN总线通讯系统对实时性和可靠性的要求,文章设计了一种基于CPCI总线的四通道隔离CAN总线通讯模块;该模块与现有的同类CAN总线设备相比,在成本和电路集成度上具有明显的优势;该模块在硬件上采用了单片FPGA来实现CPCI接口以及4个独立的CAN控制器逻辑,并且使用了4个隔离的CAN收发器CTM1051同时实现电平转换与电气隔离;在固件设计中,分别采用PCI总线IP核和CAN总线IP核来实现PCI接口和CAN总线控制器;在软件设计中,按照模块技术要求来设计设备驱动程序、仪器驱动程序和软面板;经实验测试表明,该模块的位宽容忍度范围为±5%、采样点特征值为75%并能连续正常工作3小时以上,具有良好的性能指标和可靠的四通道数据收发功能。  相似文献   

2.
为了在工业控制计算机已有总线基础上进行接口扩展,使工业控制计算机具有在恶劣工业控制环境下进行可靠、稳定数据传输的能力,提出了一种基于软核处理器的智能CAN模块的设计方法.该设计以现场可编程门阵列芯片为核心,在芯片内部实现处理器软核,通过该软核实现对CAN总线数据收发和缓冲的智能控制,从而提高了CAN总线数据传输的稳定性...  相似文献   

3.
针对1553B总线协议控制器基本依赖于进口专用芯片现状,本文介绍了一种基于SOPC片上可编程系统技术的1553B数据总线接口控制器的设计方法,提出了以Altera公司FPGA为核心实现1553B总线接口逻辑的系统设计方案。采用SOPC技术,将NIOSⅡ固核处理器与总线接口逻辑集成在一片FPGA上,从而使系统集成度高、功能强大,测试表明系统工作稳定可靠,满足1553B总线协议标准。  相似文献   

4.
基于DSP + FPGA结构的石油仪器组合导航系统中CAN总线系统设计,为了使数据能够在整个CAN总线网络协调、有效、快速、稳定的传输,提出了一种使用FPGA作为CAN总线节点结构中的核心处理器的设计方法;CAN控制器采用具有SPI接口的MCP2515,利用FPGA实现MCP2515的初始化、数据的发送和接收,实现了DSP处理器的CAN总线扩展应用;该方法由于把CAN节点控制的大量的工作交给FPGA实现,DSP只需要对FPGA中CAN数据接收FIFO和CAN数据发送FIFO进行读写操作,即可完成CAN总线的数据读写操作,大大减轻了DSP的数据处理压力;试验测试及产品应用表明,该设计有效、稳定可靠、可扩展性好,易于修改和移植,具有较强的工程实用价值。  相似文献   

5.
简要介绍了SERCOS总线,详细分析了现有SERCOS总线控制器的架构,提出了基于FPGA的SERCOS-Ⅱ总线从站协议控制器的总体框架设计方案;以Xilinx公司Spartan-3系列FPGA为硬件核心,设计完成了SERCOS-Ⅱ从站协议控制器的数据链路层软核,详细阐述了各个模块的功能和实现方法;应用MC8051作为微处理器软核.编写了简化的SERCOS-Ⅱ应用层协议,列出了软件流程;设计完成了SERCOS-Ⅱ从站接口测试板卡,搭建硬件测试平台,完成了与SERCOS主站的电气连接;通过系统调试和实验,本从站控制器可以完成与主站的通讯,达到了SERCOS-Ⅱ协议标准.  相似文献   

6.
B61580是MIL-STD-1553B总线七先进的通讯控制器,提出采用B61580芯片和Nios Ⅱ软核设计的1553B总线接口板,在分析协议处理芯片B61580和Nios Ⅱ软核处理器的基础上,给出了Nios Ⅱ系统与B61580芯片之间的软硬件接口的设计思路和方法;然后通过实际的例子,验证所设计的接口板作为总线控制器(BC)满足MIL-STD-1553B总线协议的标准,能够实现满足1553B总线协议的数据传输.  相似文献   

7.
基于FPGA的智能串口模块用于扩展3U Comapct PCI工业控制计算机的RS232串行接口。智能串口模块在硬件设计采用FPGA,在FPGA内部实现NiosⅡ软核,作为处理器实现串行通讯的智能控制功能,通过设计通用异步串行控制器(UART)IP核,实现串行接口的通讯协议,使模块具集成度高、有可灵活配置性、易功能扩展等优点,模块采用处理器管理串行接口的数据收发,从而提高了串行接口数据传输的稳定性,降低了在传输过程中出现数据丢失现象的几率。  相似文献   

8.
基于FPGA嵌入式片上系统可编程技术,采用现有的CAN总线控制器软核模块,设计了软核控制程序,将由CAN控制器软核及其控制程序组成的CAN发送软件嵌入在FPGA内部,开发了CAN总线通信系统,实现了对CAN总线数字量的发送;经过软件仿真验证、静态时序分析和硬件系统测试,仿真和测试结果表明,该系统设计合理,方案可行,时序正确,功能、性能满足要求;系统将硬件软件化,有效的减少了外围芯片的数量,降低了系统的体积和功耗,提高了产品的集成度、通用性和可靠性,具有良好的实用价值和推广前景。  相似文献   

9.
CAN总线是一种成熟的串行通信总线,它具有可靠性高、稳定性好、抗干扰能力强、通信速率高、维护成本低、实时性强、很好的开放性及数据兼容性等优点。CAN总线这些众多的优点使其广泛应用于工业自动化控制等领域。其应用的广泛性则进一步对CAN总线IP提出了需求。同时以IP实现的CAN总线控制器所具有的通用处理器访问接口,良好的可移植性等优点使其可以集成于各种嵌入式SoC设计中。本论文从CAN总线的规范和特点出发,提出了CAN总线控制器IP核的特点并定义了其功能,采用Verilog语言设计实现了CAN总线控制器IP核的功能,最后通过仿真和FPGA原型验证,证明了设计实现的正确性。目前CAN总线控制器IP核已经应用于SOPC和SoC的嵌入式应用设计中。  相似文献   

10.
基于单片机的CAN-USB通信转换模块的设计与实现   总被引:1,自引:0,他引:1  
针对CAN总线与USB接口通讯协议标准互不相融的问题,提出了以ATmega128为微处理器,采用CAN总线控制器SJA1000与USB总线控制器CH372设计了CAN-USB通信转换模块,以解决CAN总线与PC机进行通讯问题,文章中详细介绍了该模块的工作原理和实现方法,即通过软件硬件相结合实现信号特性和通讯协议的转换,从而完成两者之间的信息传输;为了克服CAN总线容易受到外界干扰的弱点,使用了6N137进行隔离;通过国家863计划项目《天然气和垃圾填埋气燃烧发电在热气机上的应用研究》的实际应用验证了此方案的可行性;具有一定设计参考价值。  相似文献   

11.
郑永龙  白煊  穆蓉  聂敏  周勇军  彭虎 《测控技术》2018,37(3):123-128
为掌握PCI板卡设计及其通信原理、开发基于PCI接口的多总线通信卡,针对目前PCI总线通信接口模块的研制现状,在对PCI9054及其PCI卡开发流程进行系统诠释的基础上,以PCI9054为PCI总线协议芯片,以CycloneⅢ系列FPGA(EP3 C5 E144C8)为本地总线控制器,采用“FPGA+ PCI9054”架构,设计一种基于PCI接口的具有1路RS232、3路RS422收发的多总线通信卡,给出该模块的硬件设计与软件开发(包括基于VC++的板卡测试软件与基于Verilog的板卡功能代码),并对其通信功能进行试验验证,仿真与实验结果表明其有效性.  相似文献   

12.
基于XAUI协议的10 Gb/s光纤通信系统   总被引:1,自引:0,他引:1       下载免费PDF全文
马腾飞  吴志勇  李增 《计算机工程》2010,36(17):264-265,269
为提高光纤通信系统的数据吞吐量,增加系统集成度,提出基于附加单元接口(XAUI)协议的10 Gb/s光纤通信系统的设计方法。采用Virtex-5 FPGA内置RocketI/O收发器的通道绑定技术实现用户逻辑至光模块之间的数据通路。利用FPGA及XPAK光模块使以往复杂、高成本的高速光纤通信系统得到简化。  相似文献   

13.
王艳秋  李旭  高锦春  唐碧华  张洪光 《软件》2013,(12):36-40,45
随着通信技术不断发展,CPRI协议作为无线基站的接口规范逐步完善,可支持的数据速率不断提高。本课题基于“新一代宽带无线通信网”国家科技重大专项:TD-LTE基站基带与射频模块间接口(Ir接口)仿真与监测工具开发。本文为了测试基带设备对IQ数据的处理能力,基于FPGA实现对用户数据(IQ数据)的实时高速传输至上位机进行存储。通过比较现有高速数据传输技术,提出采用分层化,模块化的设计思想,利用FPGA实现UDP/IP协议栈,通过千兆以太网传输至上位机。通过测试验证,本模块可实现对IQ数据的实时高速传输,满足设计要求。  相似文献   

14.
PCI总线接口的FPGA设计与实现   总被引:1,自引:0,他引:1  
介绍了利用FPGA实现PCI接口设计的方法。通过分析PCI总线接口控制器基本功能,采用VHDL硬件描述语言完成各个模块的功能设计及时序仿真测试,重点从总体设计、内部通信、外部通信、总线状态机等方面做了详细介绍。经验证可满足PCI规范的时序要求,完成了PCI总线接口的FPGA实现。  相似文献   

15.
陈金华 《测控技术》2010,29(6):98-101
HDLC协议是一种应用广泛的数据链路层协议,设计了基于HDLC协议的RS485通信设备。首先介绍设备的总体结构,然后进行RS485接口设计,重点阐述了利用有限状态机在FPGA实现HDLC协议控制器的设计过程。应用结果表明,设计能够很好地满足各项功能指标的技术要求。  相似文献   

16.
小型轻量的地面无人平台应用越来越广泛,综合处理模块作为地面无人平台的指挥控制中心,承担着信息采集、数据处理及融合等核心功能.设计高性能可扩展的综合处理模块是未来地面无人平台的重要发展方向.以多源信息融合处理理论模型为基础,设计了一种以PowerPC为主处理器,FPGA为协处理器的综合处理模块系统架构.PowerPC主处理器主要完成数据处理、数据融合以及与各接口控制器的信息交互.FPGA协处理器主要实现接口控制器的扩展、信息采集和预处理.实际测试表明,综合处理模块在计算性能和通信性能方面优于原有系统.综合处理模块的硬件设计和多源信息融合处理理论模型的描述对地面无人平台的整体设计具有重要意义.  相似文献   

17.
航空总线接口通信系统的设计与实现   总被引:1,自引:0,他引:1       下载免费PDF全文
采用现场可编程门列阵设计ARINC429,MIL-STD-1553B航空总线接口通信系统,实现总线设备之间的互联。介绍该系统的硬件结构、总线协议的模块划分及其内部结构。在Quartusll中利用VHDL语言进行编程,并用专门的综合工具Synplifypr08.1对设计进行优化,通过将程序下载到硬件中,验证设计的准确性和可靠性。  相似文献   

18.
为了解决在恶劣环境、偏远地区等特殊场合的FPGA远程更新问题,提出了一种基于4G无线通信技术的FPGA远程更新系统设计方法。应用4G无线通信模块实现了更新控制器的通信组网,远程控制器通过4G网络与更新控制器建立通信连接,下发控制命令实现FPGA的远程更新。通过实际测试验证了新方法的可行性、正确性,有效弥补了传统更新方法布线复杂、效率低、距离短等不足,提高了设备维护效率、降低了维护成本,对工程应用具有重大意义。  相似文献   

19.
针对传统的继电保护冗余系统缺乏对敏感外设容错处理、切换速度慢等问题,提出了基于FPGA的双机热备外设容错系统。该系统由FPGA控制器、DSP控制器、双A/D模块、双继电器模块等外设组成,FPGA控制器完成双机外设模块的故障检测、双机实时切换,为DSP控制器提供A/D实时采样数据、继电器信号接口,DSP控制器对采样数据进行计算与分析,产生继电保护信号,FPGA控制器和DSP控制器通过"心跳"信号互相检测。FPGA控制器时序仿真波形表明:双机外设模块可以实现周期故障自检、双机快速切换。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号