首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min.  相似文献   

2.
A combination of template-assisted metal catalytic etching and self-limiting oxidation has been successfully implemented to yield core-shell silicon nanowire arrays with inner diameter down to sub-10 nm. The diameter of the polystyrene spheres after reactive ion etching and the thickness of the deposited Ag film are both crucial for the removal of the polystyrene spheres. The mean diameter of the reactive ion-etched spheres, the holes on the Ag film, and the nanowires after metal catalytic etching exhibit an increasing trend during the synthesis process. Two-step dry oxidation and post-chemical etching were employed to reduce the diameter of the silicon nanowires to approximately 50 nm. A self-limiting effect was induced by further oxidation at lower temperatures (750°C ~ 850°C), and core-shell silicon nanowire arrays with controllable diameter were obtained.  相似文献   

3.
The single living cell action potential was measured in an intracellular mode by using a vertical nanoelectrode. For intracellular interfacing, Si nanowires were vertically grown in a controlled manner, and optimum conditions, such as diameter, length, and nanowire density, were determined by culturing cells on the nanowires. Vertical nanowire probes were then fabricated with a complimentary metal-oxide-semiconductor (CMOS) process including sequential deposition of the passivation and electrode layers on the nanowires, and a subsequent partial etching process. The fabricated nanowire probes had an approximately 60-nm diameter and were intracellular. These probes interfaced with a GH3 cell and measured the spontaneous action potential. It successfully measured the action potential, which rapidly reached a steady state with average peak amplitude of approximately 10 mV, duration of approximately 140 ms, and period of 0.9 Hz.  相似文献   

4.
In this work, nanoimprint lithography combined with standard anodization etching is used to make perfectly organised triangular arrays of vertical cylindrical alumina nanopores onto standard <100>−oriented silicon wafers. Both the pore diameter and the period of alumina porous array are well controlled and can be tuned: the periods vary from 80 to 460 nm, and the diameters vary from 15 nm to any required diameter. These porous thin layers are then successfully used as templates for the guided epitaxial growth of organised mono-crystalline silicon nanowire arrays in a chemical vapour deposition chamber. We report the densities of silicon nanowires up to 9 × 109 cm−2 organised in highly regular arrays with excellent diameter distribution. All process steps are demonstrated on surfaces up to 2 × 2 cm2. Specific emphasis was made to select techniques compatible with microelectronic fabrication standards, adaptable to large surface samples and with a reasonable cost. Achievements made in the quality of the porous alumina array, therefore on the silicon nanowire array, widen the number of potential applications for this technology, such as optical detectors or biological sensors.  相似文献   

5.
Evaluation of cytotoxicity, photoluminescence, bio-imaging, and sonosensitizing properties of silicon nanoparticles (SiNPs) prepared by ultrasound grinding of porous silicon nanowires (SiNWs) have been investigated. SiNWs were formed by metal (silver)-assisted wet chemical etching of heavily boron-doped (100)-oriented single crystalline silicon wafers. The prepared SiNWs and aqueous suspensions of SiNPs exhibit efficient room temperature photoluminescence (PL) in the spectral region of 600 to 1,000 nm that is explained by the radiative recombination of excitons confined in small silicon nanocrystals, from which SiNWs and SiNPs consist of. On the one hand, in vitro studies have demonstrated low cytotoxicity of SiNPs and possibilities of their bio-imaging applications. On the other hand, it has been found that SiNPs can act as efficient sensitizers of ultrasound-induced suppression of the viability of Hep-2 cancer cells.  相似文献   

6.
Silicon nanowire (SiNW) arrays were prepared on silicon substrates by metal-assisted chemical etching and peeled from the substrates, and their optical properties were measured. The absorption coefficient of the SiNW arrays was higher than that for the bulk silicon over the entire region. The absorption coefficient of a SiNW array composed of 10-μm-long nanowires was much higher than the theoretical absorptance of a 10-μm-thick flat Si wafer, suggesting that SiNW arrays exhibit strong optical confinement. To reveal the reason for this strong optical confinement demonstrated by SiNW arrays, angular distribution functions of their transmittance were experimentally determined. The results suggest that Mie-related scattering plays a significant role in the strong optical confinement of SiNW arrays.  相似文献   

7.
Oxidation of silicon nanowires (Si NWs) is an undesirable phenomenon that has a detrimental effect on their electronic properties. To prevent oxidation of Si NWs, a deeper understanding of the oxidation reaction kinetics is necessary. In the current work, we study the oxidation kinetics of hydrogen-terminated Si NWs (H-Si NWs) as the starting surfaces for molecular functionalization of Si surfaces. H-Si NWs of 85-nm average diameter were annealed at various temperatures from 50°C to 400°C, in short-time spans ranging from 5 to 60 min. At high temperatures (T ≥ 200°C), oxidation was found to be dominated by the oxide growth site formation (made up of silicon suboxides) and subsequent silicon oxide self-limitation. Si-Si backbond oxidation and Si-H surface bond propagation dominated the process at lower temperatures (T < 200°C).  相似文献   

8.
In an effort to develop highly porous silicon carbide for high temperature air filtration, an alternative approach to forming silicon carbide nanowires (SCNW) was developed by blending carbon containing materials with silicon powder and heating these precursors to 1400?°C. The mixing ratio of precursor materials and processing temperature were investigated with respect to the formation of SCNWs. Results indicate that anthracite and starchy materials can yield high purity SiC ceramics, yet these combinations did not produce SiC nanowires. SCNWs were successfully grown from a combination of guar gum and silicon powder precursors at 1400?°C, when held for 4?h with an argon flow rate of 1?L/min. The produced SiC is a high purity product with nanowire diameters of approximately 40?nm and ranging in length from about 100?nm to several micrometers in length. Iron was used to catalyze the nanowire growth through vapor-liquid-solid (VLS) mechanisms by adsorbing the silicon and carbon vapor at the iron rich tip, which then led the nanowire growth. TEM analysis revealed the growth of SCNWs followed the [1,1,2] direction. A wafer comprised of the synthesized SiC nanowire matrix has much higher hardness compared with a wafer of the porous commercially available cordierite.  相似文献   

9.
We investigate the effects of hydrogen plasma treatment (HPT) on the properties of silicon quantum dot superlattice films. Hydrogen introduced in the films efficiently passivates silicon and carbon dangling bonds at a treatment temperature of approximately 400°C. The total dangling bond density decreases from 1.1 × 1019 cm-3 to 3.7 × 1017 cm-3, which is comparable to the defect density of typical hydrogenated amorphous silicon carbide films. A damaged layer is found to form on the surface by HPT; this layer can be easily removed by reactive ion etching.  相似文献   

10.
Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°).  相似文献   

11.
ABSTRACT: Owing to their interesting electronic, mechanical, optical and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices and silicon solar cells. For photovoltaic (PV) application, a superficial film of SiNWs could be used as an efficient antireflection coating (ARC). In this work, we investigate the morphological, optical and electronic properties of SiNWs fabricated at different etching time. Characterizations of the formed SiNWs films were performed using a Scanning Electron Microscope (SEM), UV-Vis-NIR spectrophotometer and Light-Beam-Induced-Current (LBIC) technique. The later technique was used to determine the effective diffusion length in SiNWs films. From LBIC investigations, we deduce that the homogeneity of the SiNWs film play a key role on the electronic properties.  相似文献   

12.
An approach has been developed to produce silver nanoparticles (AgNPs) rapidly on semiconductor wafers using electrochemical deposition. The closely packed AgNPs have a density of up to 1.4 × 1011 cm-2 with good size uniformity. AgNPs retain their shape and position on the substrate when used as nanomasks for producing ultrahigh-density vertical nanowire arrays with controllable size, making it a one-step nanolithography technique. We demonstrate this method on Si/SiGe multilayer superlattices using electrochemical nanopatterning and plasma etching to obtain high-density Si/SiGe multilayer superlattice nanowires.  相似文献   

13.
ABSTRACT: It was reported that during silicon etching, silver was subjected to have a controversial role. Some researchers debate that silver protects silicon, and, at the same time, other ones confirm that silver catalyzes silicon underneath. In this paper, we give experimental results arguing the dual role that silver has during the formation of silicon nanostructures. We give a proof that the role of silver depends on the experimental details and the intrinsic properties of silver during its deposition on the silicon wafer. Through our investigations, we tracked the silver particles that indicated which mechanism is involved. Characterizations of the prepared samples were made using a Scanning Electron Microscope (SEM).  相似文献   

14.
We report the fabrication of broadband antireflective silicon (Si) nanostructures fabricated using spin-coated silver (Ag) nanoparticles as an etch mask followed by inductively coupled plasma (ICP) etching process. This fabrication technique is a simple, fast, cost-effective, and high-throughput method, making it highly suitable for mass production. Prior to the fabrication of Si nanostructures, theoretical investigations were carried out using a rigorous coupled-wave analysis method in order to determine the effects of variations in the geometrical features of Si nanostructures to obtain antireflection over a broad wavelength range. The Ag ink ratio and ICP etching conditions, which can affect the distribution, distance between the adjacent nanostructures, and height of the resulting Si nanostructures, were carefully adjusted to determine the optimal experimental conditions for obtaining desirable Si nanostructures for practical applications. The Si nanostructures fabricated using the optimal experimental conditions showed a very low average reflectance of 8.3%, which is much lower than that of bulk Si (36.8%), as well as a very low reflectance for a wide range of incident angles and different polarizations over a broad wavelength range of 300 to 1,100 nm. These results indicate that the fabrication technique is highly beneficial to produce antireflective structures for Si-based device applications requiring low light reflection.  相似文献   

15.
Vertically aligned silicon nanowires have been synthesized by the chemical etching of silicon wafers. The influence of a hydrogenated amorphous silicon (a-Si:H) layer (shell) on top of a silicon nanowire (SiNW) solar cell has been investigated. The optical properties of a-Si:H/SiNWs and SiNWs are examined in terms of optical reflection and absorption properties. In the presence of the a-Si:H shell, 5.2% reflection ratio in the spectral range (250 to 1,000 nm) is achieved with a superior absorption property with an average over 87% of the incident light. In addition, the characteristics of the solar cell have been significantly improved, which exhibits higher open-circuit voltage, short-circuit current, and efficiency by more than 15%, 12%, and 37%, respectively, compared with planar SiNW solar cells. Based on the current–voltage measurements and morphology results, we show that the a-Si:H shell can passivate the defects generated by wet etching processes.  相似文献   

16.
This work develops a method for growing Ni-silicide/Si heterostructured nanowire arrays by glancing angle Ni deposition and solid state reaction on ordered Si nanowire arrays. Samples of ordered Si nanowire arrays were fabricated by nanosphere lithography and metal-induced catalytic etching. Glancing angle Ni deposition deposited Ni only on the top of Si nanowires. When the annealing temperature was 500°C, a Ni3Si2 phase was formed at the apex of the nanowires. The phase of silicide at the Ni-silicide/Si interface depended on the diameter of the Si nanowires, such that epitaxial NiSi2 with a {111} facet was formed at the Ni-silicide/Si interface in Si nanowires with large diameter, and NiSi was formed in Si nanowires with small diameter. A mechanism that is based on flux divergence and a nucleation-limited reaction is proposed to explain this phenomenon of size-dependent phase formation.  相似文献   

17.
Macroporous silicon with ordered pore intervals was fabricated by the site-selective chemical etching of a Si substrate using patterned noble-metal thin films as a catalyst. The morphology of the etched silicon surface and the etching rate was affected by the shape of deposits and metal catalyst species such as Pt-Pd, Au, and Pt. The etching rate increased in the following order: Au < Pt ≤ Pt-Pd. The pores of macroporous silicon prepared by using Pt-Pd catalyst were conical in shape because of the chemical dissolution of the surface of the macropores. On the other hand, by using Au catalyst, relatively straight pores with uniform diameter were formed in the direction of pore depth. The morphology of macroporous silicon was assumed to be affected by the difference in the shape of metal catalysts and the diffusion behaviour of injected positive holes at the silicon/metal interface.  相似文献   

18.
Large-area, vertically aligned silicon nanowires with a uniform diameter along the height direction were fabricated by combining in situ-formed anodic aluminum oxide template and metal-assisted chemical etching. The etching rate of the Si catalyzed using a thick Au mesh is much faster than that catalyzed using a thin one, which is suggested to be induced by the charge transport process. The thick Au mesh in contact with the Si produces a low Au/Si Schottky barrier height, facilitating the injection of electronic holes from the Au to the Si, thus resulting in a high etching rate.  相似文献   

19.
In the current study, monocrystalline silicon nanowire arrays (SiNWs) were prepared through a metal-assisted chemical etching method of silicon wafers in an etching solution composed of HF and H2O2. Photoelectric properties of the monocrystalline SiNWs are improved greatly with the formation of the nanostructure on the silicon wafers. By controlling the hydrogen peroxide concentration in the etching solution, SiNWs with different morphologies and surface characteristics are obtained. A reasonable mechanism of the etching process was proposed. Photocatalytic experiment shows that SiNWs prepared by 20% H2O2 etching solution exhibit the best activity in the decomposition of the target organic pollutant, Rhodamine B (RhB), under Xe arc lamp irradiation for its appropriate Si nanowire density with the effect of Si content and contact area of photocatalyst and RhB optimized.  相似文献   

20.
Porous silicon (p-Si), prepared by two routes (metal induced etching (MIE) and laser induced etching (LIE)) have been studied by comparing the observed surface morphologies using SEM. A uniformly distributed smaller (submicron sized) pores are formed when MIE technique is used because the pore formation is driven by uniformly distributed metal (silver in present case) nanoparticles, deposited prior to the porosification step. Whereas in p-Si, prepared by LIE technique, wider pores with some variation in pore size as compared to MIE technique is observed because a laser having gaussian profile of intensity is used for porosification. Uniformly distribute well-aligned Si nanowires are observed in samples prepared by MIE method as seen using cross-sectional SEM imaging. A single photoluminescence (PL) peak at 1.96 eV corresponding to red emission at room temperature is observed which reveals that the Si nanowires, present in p-Si prepared by MIE, show quantum confinement effect. The single PL peak confirms the presence of uniform sized nanowires in MIE samples. These vertically aligned Si nanowires can be used for field emission application.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号