首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Epitaxial layers of ZnSxSe1-x ranging in thickness from 0.1 μm to 4 μm were grown on GaAs and Ge substrates by a low temperature, low pressure organometallic CVD process. The admixture of small amounts of sulfur to ZnSe results in an improved lattice match with the substrate wafers. The exact lattice match occurs at a composition of x = 0.052 for GaAs and x = 0.035 for Ge. The reduction of the stress at the interface leads to improved photoluminescence properties, as expressed in the narrowing of the width of the near-bandgap peak and in a decrease in the intensity of the self-activated luminescence. The performance of n-ZnSe/p-GaAs heterojunctions is also discussed.  相似文献   

2.
CdTe:(V, Ge) single crystals are grown using the Bridgman-Stockbarger method. The impurity concentrations in the melt are NV = 1 × 1019 cm?3 and NGe = 5 × 1018 and 1 × 1019 cm?3. Electrical and galvanomagnetic characteristics are studied in the temperature range 300–400 K. It is found that the equilibrium characteristics are governed by deep levels (ΔE = 0.75–0.95 eV) located close to the midgap. Low-temperature optical absorption spectra indicate that the impurity levels of V and Ge ions in the low-energy region are in different charge states. In addition, the samples are annealed in Cd vapor and then rapidly cooled. This annealing causes the decomposition of various complexes formed during the crystal growth and an increase in both electrical conductivity and charge carrier concentration.  相似文献   

3.
We have investigated the Structural, mechanical and thermodynamic properties of Cu2CoXS4 (X = Si, Ge, Sn) by using the density functional theory method. In this paper, we used GGA-PBE functional to find the equilibrium structural parameters and to calculate the elastic properties. The Mulliken population analysis indicates the bonds between S atoms and other three atoms in Cu2CoXS4 (X = Si, Ge, Sn) exhibit the feature of covalent bond. Furthermore, the calculated elastic constants prove the mechanical stability of Cu2CoXS4 (X = Si, Ge, Sn) in I\(\bar 4\)2m structure. The results are given for B/G and A U reveal Cu2CoXS4 (X = Si, Ge, Sn) can behave as a ductile and elastic material. Finally, the heat capacity, thermal expansion, entropy and Debye temperature are also reported at the different pressures (0~50 GPa) and temperatures (0~1000 K).  相似文献   

4.
Deep level transient spectroscopy (DLTS) measurements were performed to study electron emission from quantum states in a 20-layer Ge quantum-dot superlattice (QDSL) in a Ge/Si p-n heterostructure. It was established that the changes in the DLTS spectra depend heavily on the magnitude of the applied reverse bias U r . Three regions of the reverse bias U r were identified, corresponding to the manifestation of the three modes of the Wannier-Stark effect: Wannier-Stark ladder mode, Wannier-Stark localization, and nonresonant Zener tunneling mode. Furthermore, it was found that the appearance of DLTS peaks for all three modes is associated with electron emission from deep-level defects via Wannier-Stark localized states arising as a result of the splitting of the electron miniband of the Ge/Si QDSL.  相似文献   

5.
Morphological and optical characterizations for the Mg2Si samples doped with Ag are presented. Two different doping methodologies with silver, namely in situ and ex situ doping, were studied for the case of Mg2Si of self-propagating high-temperature synthesis. Electron microscopy measurements in both scanning and transmission configurations verified the presence of AgMg precipitates embedded in the Mg2Si matrix and similar results were also yielded by FTIR spectroscopy. Finally, the dependence of silver content in both forms of dopant and inter-metallic constituent is studied upon doping technology.  相似文献   

6.
To demonstrate the extending functionality of the simplest MOS (metal-oxide-semiconductor) capacitor, a structure with a p +-Si/nano-SiO2 heterojunction in which strongly degenerate n +-Si is used instead of a metal electrode is considered. As a result, a tunnel diode with negative differential resistance and a quartz resonator is obtained. Its electrical characteristics are superior to those of the corresponding Esaki diode and are controlled not only by the Silicon doping level, but also by the SiO2 thickness.  相似文献   

7.
In Ge-based metal oxide semiconductor technology, the insertion of a passivation layer seems to be crucial in unpinning the Fermi level at the interface and in reducing the amount of interface defects. GeO2 was obtained by atomic oxygen (AO), molecular oxygen or ozone chemisorption. Atomic or molecular oxygen was used in the deposition of Gd2O3. Gd2O3 thin films were grown by molecular beam deposition directly on (1 0 0) Ge or on a GeO2 interlayer. The chemical nature of the Gd2O3/Ge interface was characterized by time-of-flight secondary ion mass spectrometry depth profiles. Without GeO2 layer Gd and Ge interdiffusion is observed and the concomitant formation of GeOGd bonds is also supported by X-ray photoelectron spectroscopy energy shift at the Ge 3d peak and by a singularity in the interface defect energy distribution at ∼0.48 eV. Further, depending on the GeO2 formation process, the profile shape of Ge and O related secondary ions at the GeO2/Ge interface can be related with a defective Ge region close to the GeO2/Ge. In particular, considering the ratio between Ge and GeO2 related secondary ion signals, the interlayer passivated using AO turns out to be comparatively enriched in Ge, while the use of ozone for GeO2 formation leads to a Ge deficient layer.  相似文献   

8.
The growth of Ge nanocrystals in SiO2 films is studied in relation to the dose of implanted Ge+ ions and the annealing temperature at a pressure of 12 kbar. It is established that the dependences of the nanocrystal dimensions on the content of Ge atoms and the annealing time are described by the corresponding root functions. The nanocrystal radius squared is an exponential function of the inverse temperature. The dependences correspond to the model of the diffusion-controlled mechanism of nanocrystal growth. From the temperature dependence of the nanocrystal dimensions, the diffusion coefficient of Ge in SiO2 at a pressure of 12 kbar is determined: D = 1.1 × 10–10 exp(–1.43/kT). An increase in the diffusion coefficient of Ge under pressure is attributed to the change in the activation volume of the formation and migration of point defects. Evidence in favor of the interstitial mechanism of the diffusion of Ge atoms to nanocrystal nuclei in SiO2 is reported.  相似文献   

9.
Sintered metal-semiconductor contacts, formed by thin, evaporated layers of Pd and Ge on n-type GaAs, were studied using Auger electron spectroscopy, X-ray diffraction, X-ray photoelectron spectroscopy, secondary ion mass spectroscopy, current-voltage measurements, and capacitance-voltage measurements. Prior to sintering, the as-deposited Pd/Ge/GaAs contacts were rectifying and exhibited a reproducible Schottky barrier energy φBn of 0.67±0.02 eV. Auger analysis indicated the initial behavior of the contact structure, upon sintering, to be an interdiffusion and reaction of Pd and Ge on a non-reacting GaAs substrate. Two germanide phases, Pd2Ge and PdGe, were identified using X-ray diffraction and Auger analysis. The intervening Ge layer prevented the reaction of Pd with the GaAs substrate at low temperatures. Because of the PdGe reaction, φBn increased to approximately 0.85 eV. Sintering at higher temperatures (i.e. between 300 and 400°C) produced additional reactions between Pd and the GaAs substrate. The electrical properties of the contact remained rectifying and φBn exhibited little change from the value of 0.85 eV with the interdiffusion of Pd, Ga, and As. Sintering above 400°C resulted in the formation of ohmic contacts. The diffusion of Ge to the GaAs interface was found to correlate with the onset of ohmic behavior. Current conduction in the contact was best described by thermionic-field emission theory, and a specific contact resistance of 3.5 × 10?4Ω-cm2 was obtained after sintering above 550°C, independent of the initial impurity concentration in the substrate. Over the entire range of sintering temperatures (i.e. at or below 600°C), the interaction between the thin-film layers appeared to be governed by diffusion-controlled, solid-phase processes with no evidence of the formation of a liquid phase. As a result, the surface of the contact structure remained smooth and uniform during sintering.  相似文献   

10.
Results for low dimensional p-type Ge/SiGe superlattices with Ge quantum wells of 3.43 nm are presented. A range of microfabricated test structures have been developed to characterise the cross-plane electrical and thermal properties of the Ge/SiGe heterostructures. These superlattices were directly grown on 100-mm-diameter silicon wafers by a chemical vapour deposition growth system with rates up to 6 nm/s. Quantum well and quantum mechanical tunnel barriers with dimensions down to \(\sim1\) nm have been designed, grown and tested; they demonstrate a ZT of 0.08 ± 0.011 and power factor of 1.34 ± 0.15 m W m?1 K?2 at 300 K. A complete microfabricated module using indium bump-bonding is reported together with preliminary results on unoptimised material and leg dimensions. Routes to optimise the material and modules are discussed.  相似文献   

11.
In this study, low pressure chemical vapor deposition of pure germanium on silicon and silicon dioxide has been considered for new applications in future ultra large scale integration (ULSI) technologies. Germanium depositions were performed in a lamp heated cold-wall rapid thermal processor using thermal decomposition of GeH4. It is shown that Ge deposition on Si can be characterized by two different regions: a) at temperatures below approximately 450° C, the deposition is controlled by the rate of surface reactions resulting in an activation energy of 41.7 kcal/mole. b) Above this temperature, mass transport effects become dominant. The deposition rate at the transition temperature is approximately 800 Å/min. It is shown that Ge deposition on SiO2 does not occur, even at temperatures as high as 600° C, resulting in a highly selective deposition process. Selectivity, combined with low deposition temperature makes the process very attractive for a number of applications. In this work, it is shown for the first time that selective Ge deposition can be used to eliminate silicon consumption below the gate level during the silicidation of the shallow source and drain junctions of deep submicron MOSFETs. In addition, a new in situ technique has been developed which allows polycrystalline germanium (poly-Ge) deposition on SiO2. In this work poly-Ge has been considered as a low temperature alternative to polycrystalline silicon (poly-Si) in the formation of gate electrodes in single-wafer manufacturing where low-thermal budget processes are most desirable.  相似文献   

12.
Polythiophene/poly(3,4-ethylenedioxythiophene) (PTh/PEDOT) nanocomposites with luminescent characteristics and high thermoelectric (TE) performance were successfully synthesized by two-step oxidative polymerization in aqueous medium. First, PTh nanoparticles (NPs) were synthesized by use of FeCl3/H2O2 as catalyst/oxidant system with poly(4-styrene sulfonic acid) (PSSA) as surfactant. PTh/PEDOT nanocomposites were then synthesized by in situ oxidative polymerization of 3,4-ethylenedioxythiophene (EDOT) on the surface of PTh NPs. The composition of the nanocomposites was controlled by varying the concentration of EDOT. Electron microscopy imaging and dynamic light scattering experiments confirmed the nanocomposites had a PTh core and a PEDOT shell/matrix. Finally, the TE performance of the PTh/PEDOT nanocomposites was investigated. The electrical conductivity and power factor of the nanocomposites were found to increase from 0.0001 S/cm to 475 S/cm and from 0.001 μW/mK2 to 22.9 μW/mK2, respectively, at the optimum PEDOT concentration.  相似文献   

13.
nc-Si/SiO2 crystalline semiconductor quantum dots are very attractive as fluorescent labels for developing biosensors integrated with biomedical materials due to their unique physical properties in the visible region of the spectrum. We report on the functionalization of such nanostructures by single-strand short oligonucleotides using the d(20G,20T) system (d is deoxyribonucleotide, G is guanine, and T is thymine) as an example. Oligonucleotides are obtained by chemical synthesis using the solid-phase phosphoramidite technique. Studies using developed methods of Raman spectroscopy of high spectral and spatial resolution are performed on such complexes. The previously unpredicted phenomenon of multiband selective resonant light scattering by isolated molecular groups, caused by the nonradiative transfer of photoexcited electrons, is observed using a system of nc-Si/SiO2 quantum dots functionalized by d(20G,20T) oligonucleotides as an example. The results obtained suggest that the developed approach can be used to study the molecular structure of semiconductor quantum-dot and DNA complexes.  相似文献   

14.
Epitaxial growth of GaAs1-xPx layer on the Ge substrate has been investigated under the optimized growth conditions for reducing vapor etching of the substrate, using a Ga-PCl3-AsH3-H2 system. The free carrier concentration, ?ND+?NA??, and the electroluminescent properties of GaAs1-xPx layers with x ? 0·4 are studied, and are correlated with the Ge concentration involved. In the lightly doped region below 1×1017 atoms/cm3, bright electroluminescence is observed at room temperature from forward-biased p-n junctions fabricated by a zinc-diffusion technique. However, in the narrow region of 1×1017?4×1017 atoms/cm3, the enhanced amphoteric behavior of Ge leads to concentration quenching of visible-light emission. The ?ND+?NA?? reaches its maximum at ~ 1×1017 atoms/cm3. Nearly complete self-compensation is observed above 4×1017 atoms/cm3 due to the increase of the concentration of deep-lying Ge acceptors.  相似文献   

15.
The influence of hydrostatic compression on the implantation-induced synthesis of Ge nanocrystals in SiO2 host was studied. It is found that high-temperature annealing under pressure leads to retardation of Ge diffusion in SiO2. It is shown that unstressed Ge nanocrystals are formed as a result of conventional annealing (under atmospheric pressure). Annealing under pressure is accompanied by formation of hydrostatically stressed Ge nanocrystals. The stress in Ge nanocrystals was determined from optical-phonon frequencies in the Raman spectra. The shift of Raman resonance energy (E1, E1 + Δ1) corresponds to the quantization of the ground-state energy for a two-dimensional exciton at the critical point M1 of germanium. It is ascertained that a photoluminescence band peaked at 520 nm is observed only in the spectra of the films which contain stressed Ge nanocrystals.  相似文献   

16.
Al/Poly(methyl methacrylate)(PMMA)/p-Si organic Schottky devices were fabricated on a p-Si semiconductor wafer by spin coating of PMMA solution. The capacitance–voltage (CV) and conductance–voltage (GV) characteristics of Al/PMMA/p-Si structures have been investigated in the frequency range of 1 kHz–10 MHz at room temperature. The diode parameters such as ideality factor, series resistance and barrier height were calculated from the forward bias current–voltage (IV) characteristics. In order to explain the electrical characteristics of metal–polymer–semiconductor (MPS) with a PMMA interface, the investigation of interface states density and series resistance from CV and GV characteristics in the MPS structures with thin interfacial insulator layer have been reported. The measurements of capacitance (C) and conductance (G) were found to be strongly dependent on bias voltage and frequency for Al/PMMA/p-Si structures. The values of interface state density (D it) were calculated. These values of D it and series resistance (R s) were responsible for the non-ideal behavior of IV and CV characteristics.  相似文献   

17.
S. Abdallah 《Semiconductors》2014,48(10):1385-1390
The performance of alloyed CdS0.33Se0.67 quantum dots-sensitized solar cells (QDSSCs) is studied. Fluorine doped Tin Oxide (FTO) substrates were coated with 20nm-diameter TiO2 nanoparticles (NPs). Presynthesized CdS0.33Se0.67 quantum dots (QDs) (radius 3.1 nm) were deposited onto TiO2 nanoparticles (NPs) using direct adsorption (DA) method, by dipping for different times at ambient conditions. The FTO counter electrodes were coated with platinum, while the electrolyte containing I ?/I 3 ? redox species was sand-wiched between the two electrodes. The characteristic parameters of the assembled QDSSCs were measured at different dipping times, under AM 1.5 sun illuminations. The maximum values of short circuit current density (J sc) and conversion efficiency (η) are 1.115 mA/cm2 and 0.25% respectively, corresponding 6h dipping time. Furthermore, the J sc increases linearly with increasing the intensities of the sun light which indicates the linear response of the assembled cells.  相似文献   

18.
We have grown Ge x Si1-x (0 <x < 0.20,1000–3000Å thick) on small growth areas etched in the Si substrate. Layers were grown using both molecular beam epitaxy (MBE) at 550° C and rapid thermal chemical vapor deposition (RTCVD) at 900° C. Electron beam induced current images (EBIC) (as well as defect etches and transmission electron microscopy) show that 2800Å-thick, MBE Ge0.19Si0.81 on 70-μm-wide mesas have zerothreading and nearly zero misfit dislocations. The Ge0.19Si{0.81} grown on unpatterned, large areas is heavily dislocated. It is also evident from the images that heterogeneous nucleation of misfit dislocations is dominant in this composition range. 1000Å-thick, RTCVD Ge0.14Si0.86 films deposited on 70 μm-wide mesas are also nearly dislocation-free as shown by EBIC, whereas unpatterned areas are more heavily dislocated. Thus, despite the high growth temperatures, only heterogeneous nucleation of misfit dislocations occurs and patterning is still effective. Photoluminescence spectra from arrays of GeSi on Si mesas show that even when the interface dislocation density on the mesas is high, growth on small areas results in a lower dislocation density than growth on large areas.  相似文献   

19.
Far infrared photoconductivity spectra due to excitation of shallow acceptors in strained multiquantum well Ge/Ge1?x Six (x≈0.1) heterostuctures are investigated. It is shown that these spectra are shifted toward longer wavelengths in the far infrared region compared with those of bulk p-Ge, owing to “built-in” strain and size quantization, which lead to splitting of the light-and heavy-hole subbands in the Ge layers. Shallow acceptor spectra are calculated variationally for bulk germanium under uniaxial tension, which is “equivalent” to the strained Ge layers in the heterostructures. Although this method is only appropriate for wide quantum wells (d Ge≈800 Å), the calculations are shown to qualitatively account for photoconductivity spectra obtained from narrower wells (d Ge≈200 Å) as well.  相似文献   

20.
The systematic features of the formation of the low-resistivity compound Cu3Ge by low-temperature treatment of a Cu/Ge two-layer system in an atomic hydrogen flux are studied. The Cu/Ge two-layer system is deposited onto an i-GaAs substrate. Treatment of the Cu/Ge/i-GaAs system, in which the layer thicknesses are, correspondingly, 122 and 78 nm, in atomic hydrogen with a flux density of 1015 at cm2 s–1 for 2.5–10 min at room temperature induces the interdiffusion of Cu and Ge, with the formation of a polycrystalline film containing the stoichiometric Cu3Ge phase. The film consists of vertically oriented grains 100–150 nm in size and exhibits a minimum resistivity of 4.5 µΩ cm. Variations in the time of treatment of the Cu/Ge/i-GaAs samples in atomic hydrogen affect the Cu and Ge depth distribution, the phase composition of the films, and their resistivity. Experimental observation of the synthesis of the Cu3Ge compound at room temperature suggests that treatment in atomic hydrogen has a stimulating effect on both the diffusion of Cu and Ge and the chemical reaction of Cu3Ge-compound formation. These processes can be activated by the energy released upon the recombination of hydrogen atoms adsorbed at the surface of the Cu/Ge/i-GaAs sample.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号