首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 78 毫秒
1.
基于Verilog HDL的有限状态机设计与描述   总被引:1,自引:0,他引:1  
有限状态机(FSM)是逻辑设计的重要内容,稍大一点的逻辑设计都存在FSM.介绍了采用Verilog HDL实现有限状态机的几种不同编码方式和描述风格,并从稳定性、可读性、速度和面积等方面比较了不同实现方式的利弊.最后,以简单序列检测器为例实现了可综合的FSM描述,并分析了其采用不同描述风格所得的综合结果.  相似文献   

2.
王帅 《测控技术》2012,31(8):63-67
在深入研究IEEE1149.5标准的基础上,结合层次化测试的实际需求,采用可编程逻辑器件设计了通用型MTM总线主模块控制器。主模块控制器实现了IEEE1149.5规定的链路层协议,具备微控制器接口,其特色在于易用性和灵活性强,外部接口简单,可以方便地与各种通用微控制器集成。经功能仿真和实际测试,主模块控制器功能正确,运行稳定可靠,完全达到了预定的设计目标。  相似文献   

3.
PCI总线目标接口状态机的Verilog HDL实现   总被引:1,自引:0,他引:1  
齐淋淋  向健勇 《计算机工程与设计》2006,27(12):2268-2269,2272
随着计算机技术的发展,PCI总线以其高性能、突发传输和即插即用的优点获得广泛应用,成为事实上的计算机标准总线。介绍了采用独热(one-hot)编码方式、用Verilog HDL语言实现了PCI目标接口的核心控制部分——目标接口状态机,给出了详细的状态转移图和仿真结果图,并进行了分析。同时结合其它支持模块,灵活地配置到CPLD中实现了PCI目标接口,较好地完成了PCI目标接口的数据传输控制功能。  相似文献   

4.
高速串行通信总线适合于高实时性、高可靠性的底层设备间的数据通信。文章重点讨论了使用有限状态机进行高速串行通信协议中的收发两大核心模块的设计实现。设计不但在Quartus Ⅱ5.0中通过了全部功能仿真,还在实际数控系统中检验了,茁、线的有效性。  相似文献   

5.
对于数字逻辑工程师来说,设计一个同步有限状态机(FSM)是一项很常见的任务。该论文讨论了若干关于设计有限状态机方面的问题,包括用于状态分配的状态编码方法,状态机的输入输出等等。  相似文献   

6.
有限状态机设计的关键是如何把一个实际的时序逻辑关系抽象成一个时序逻辑函数,传统的电路图输入法通过直接设计寄存器组来实现各个状态之间的转换,而用硬件描述语言来描述有限状态机,往往是通过充分发挥硬件描述语言的抽象建模能力,通过对系统在系统级或寄存器传输级进行描述来建立有限状态机。随着EDA工具的快速发展,通过CAD快速设计有限状态机自动化成为可能。  相似文献   

7.
王鹏  郭忠文 《计算机工程与设计》2006,27(11):2017-2019,2104
有限状态机(finite state machine,FSM)广泛应用于数字系统的控制器设计中,用Verilog设计的可综合状态机有多种编码风格,通常这些编码风格生成的状态机带有组合逻辑输出.时序分析指出组合逻辑输出型状态机不适合高速系统,提出了一种适合高速系统的寄存器输出型状态机.最后通过实例给出了寄存器输出型状态机的状态编码方法及其可综合Verilog编码风格.  相似文献   

8.
MTM总线模块间通信体系的研究与应用   总被引:1,自引:0,他引:1       下载免费PDF全文
分析IEEE1149.5标准定义的MTM总线协议,对MTM总线模块间的数据通信体系进行功能需求分析,提出MTM总线模块间通信体系的设计方案。通过采用不同的驱动电路,构建和实现MTM总线模块间的短距离通信体系和长距离通信体系。应用结果表明该设计是正确可行的。  相似文献   

9.
基于Verilog HDL的1553B总线监视器设计   总被引:1,自引:1,他引:0  
1553B总线具有可靠性高、抗干扰能力强,扩充灵活等特点,因此得到了广泛的应用;总线监视器是1553B总线系统中三类设备中的一种,用于记录和分析总线上的消息及设备状态,为系统分析提供数据源;介绍了一种基于Verilog HDL硬件描述语言的1553B总线监视器的实现方案,其中解码器部分完成串并转换、解码功能,控制部分采用字监视的工作方式,监听和记录总线上传输的每一个字,并生成相应的描述符;测试平台上的试验结果显示,BC发送命令字082AH要求RT1接收10个数据字1-AH,监视器正确监视到命令字082AH及数据字1~AH,并生成了正确的命令字描述符FFA9H和数据字描述符A3H,经验证所设计的总线监视器能够完成预期的功能.  相似文献   

10.
基于有限状态机的视频编解码器设计   总被引:1,自引:0,他引:1       下载免费PDF全文
王中元  胡瑞敏  朱力  李明 《计算机工程》2007,33(15):220-221
在实时嵌入式多媒体通信系统中,分析了基于帧的视频编解码器设计方式对语音编解码器、通信模块实时性的影响,利用状态转换图分析了视频编解码器的有限状态机(FSM)。实验结果表明,基于状态机的设计方法提高了嵌入式系统的实时性。  相似文献   

11.
文章介绍了基于FPGA的数字系统设计优化的两种重要技术:流水线设计技术和资源共享设计技术,并通过两个具体的示例,详细说明了如何利用Verilog HDL语言来编写优化程序,并通过定时分析及资源耗用结果对比分析了优化设计前后电路在速度与资源利用率等性能指标上的差别。  相似文献   

12.
基于Verilog HDL设计的多功能数字钟   总被引:4,自引:3,他引:4  
本文利用VerilogHDL语言自顶向下的设计方法设计多功能数字钟,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过AlteraQuartusⅡ4.1和ModelSimSE6.0完成综合、仿真。此程序通过下载到FPGA芯片后,可应用于实际的数字钟显示中。  相似文献   

13.
针对高速模/数转换器件采用单片机控制存在的问题,结合AD7685的工作原理,采用FPGA控制A/D转换器工作,利用Verilog HDL硬件描述语言采用自顶向下的开发模式设计了AD7685采样控制器,并调用FPGA内部逻辑资源搭建而成的FIFO做为缓存.文中介绍了如何生成FIFO宏模块及其调用方法,同时给出了部分程序代...  相似文献   

14.
基于Verilog HDL的电梯系统设计   总被引:1,自引:0,他引:1  
从状态机的角度,介绍了一种电梯控制器的Verilog HDL设计方法。将其嵌入到FPGA中,用于实现电梯的控制。着重介绍电梯的总体设计方案,详细描述其内部状态机的工作原理,并提供了电梯中主控制器与分控制器通信部分的Verilog源代码。给出了在Xilinx公司的ISE6.2+ModelSimXE5.6软件平台中进行EDA的综合结果与时序仿真,并遵循方向优先的原则提供3个楼层多用户的载客服务并指示电梯的运行情况。实际应用表明,该系统设计灵活,运行可靠,成本低廉,有一定的应用价值。  相似文献   

15.
基于Verilog HDL语言的带左转复杂交通灯设计   总被引:1,自引:0,他引:1  
本设计选用了目前应用较为广泛的Verilog HDL硬件描述语言,实现对路口交通灯系统的控制器的硬件电路描述。这种硬件电路描述在Altera公司的EDA软件平台MAX PLUSⅡ环境下通过了编译,仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。  相似文献   

16.
概述了FM24系列铁电存储器原理、功能特点和相关应用;着重阐述了采用Verilog HDL实现其读写器的模型设计以及系统设计和部分关键源程序,并作出必要的诠释;最后给出了程序仿真方法和测试结果时序图.  相似文献   

17.
该文介绍了以FPGA芯片中RAM结构为核心,使用VerilogHDL设计CAM的方案。该CAM的数据深度和宽度易于扩展,匹配查找速度快。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号