首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 279 毫秒
1.
We report experiments designed to help optimize accelerator mass spectrometry (AMS) of 26Al (in the form of Al2O3) for geochronologic and geomorphologic applications. Analysis times are long and the precision of AMS are restricted by counting statistics for 26Al, which are in turn limited by the intensity of Al beam currents. We show that ion beam currents are affected by the metal matrix in which Al2O3 is dispersed, by the matrix-to-Al2O3 mixing ratio, and for at least some matrices, such as Ag, by the depth to which the sample is packed in the AMS cathode. Typical instantaneous Al+7 currents (μA) produced by the LLNL CAMS Cs sputter ion source and measured in a Faraday cup after the accelerator are 2.26 for samples in Ag, 2.17 in Re, 2.00 in Nb, 1.92 in V and 1.73 in Mo. The AMS counting efficiency (Al ions detected per Al atom loaded in the target) for a constant analysis time (900 s) and for equimolar mixtures of Al2O3 and matrix is in the range of 6 × 10−5–9 × 10−5 in the order Ag > Re > Nb > V > Mo. Additionally, we observed a correlation between the ion detection efficiency (Al ions detected per Al atoms loaded) and the matrix work function and inverse vaporization enthalpy of the matrix and beam current. Typical currents (μA) obtained with elemental Al are 13.3 for samples in no matrix, 3.23 in V, 3.14 in Nb, 3.07 in Re, 2.85 in Mo, 1.46 in Ag. The ion detection efficiency for elemental Al correlates strongly with matrix electron affinity. Thus, our data indicate that the current practice of mixing Al2O3 with Ag is reasonable until a means is found to produce cathodes of elemental Al.  相似文献   

2.
The in-diffusion of platinum into a low-doped n-type float zone silicon guided and enhanced by radiation damage produced by co-implantation of helium ions was investigated. The implantation of 1 MeV platinum ions at different doses ranging from 5 × 1011 to 5 × 1012 cm−2 was used to produce a finite source for platinum diffusion. Single and multiple energy implantation of helium ions with energies 7, 9, 11 and 13 MeV introducing different profiles of radiation defects were applied to enhance and shape the diffusion of platinum atoms performed by 20 min annealing at 725 °C in vacuum. The distribution of in-diffused platinum was studied by monitoring the acceptor level of substitutional platinum (EC − ET = 0.23 eV) by deep level transient spectroscopy. Results show that the helium co-implantation significantly enhances platinum diffusion and allows its control up to the depths of hundreds of micrometers. The resulting Pts distribution is given by the profile of radiation damage produced by helium ions while the amount of in-diffused Pts can be controlled by the dose of platinum implantation. It is also shown that an extra annealing at 685 °C performed prior to helium implantation substantially increases the amount of in-diffused platinum.  相似文献   

3.
Silicon-carbon alloys were formed by multiple energy implantation of C+ ions in silicon and in Silicon on Sapphire (SOS). The ion fluence ranged between 5 × 1016 − 3 × 1017 ions/cm2 and the energy between 10–30 keV in order to obtain constant carbon concentration into a depth of 100 nm. The carbon atomic fraction (x) was in the range 0.22–0.59 as tested by Rutherford backscattering spectrometry (RBS). Thermal annealing of the implanted films induced a transition from amorphous to a polycrystalline structure at temperatures above 850°C as detected by Infrared spectrometry (IR) in the wavenumber range 600–900 cm−1. The optical energy gap and the intensity of the infrared signal after annealing at 1000°C depended on the film composition: they both increased linearly with carbon concentration reaching a maximum at the stoichiometric composition (x = 0.5). At higher carbon concentration the IR intensity saturated and the optical energy gap decreased from the maximum value of 2.2 to 1.8 eV. The behaviour at the high carbon content has been related to the formation of graphitic clusters as detected by Raman spectroscopy.  相似文献   

4.
A monoenergetic MeV positron (e+) beam, with a flux at present of 6 × 104 e+/s in the energy range of 0.5 to 6.5 MeV, has been installed at the Stuttgart Pelletron accelerator. The stabilization and the absolute calibration of the energy E is monitored by a Ge detector with real-time feedback; a relative energy stability of ΔE/E 10−4 is obtained. So far, e+e scattering and annihilation-in-flight experiments for investigating the low-energy e+e interaction as well as β+ γ positron lifetime measurements in condensed matter have been performed. The advantages of the β+ γ method compared to the conventional γγ coincidence technique have been demonstrated. Recently, triple-coincidence positron “age-momentum correlation” measurements have been carried out on fused quartz. A brief account is given on the development of a “positron clock” aiming at a substantial improvement of the time resolution of the β+ γ positron lifetime measurements.  相似文献   

5.
Electron Paramagnetic Resonance (EPR) measurements have been made to investigate the build up of damage in silicon in relaxed crystalline Si1−xGex (x = 0.04, 0.13, 0.24, 0.36) and in 6H-SiC as a result of increasing the ion dose from low levels (1012 cm−2) up to values (1015 cm−2) sufficient to produce an amorphous layer. Si, Si1−xGex (x ≠ 0) and SiC were implanted at room temperature with 1.5 MeV Si, 2 MeV Si and 0.2 MeV Ge ions respectively. A comparison is made between the ways in which the type and population of paramagnetic defects depend on ion dose for each material.  相似文献   

6.
Helium irradiation experiments of V–4Ti alloy were conducted in an ECR ion irradiation apparatus by using helium ions with energy of 5 keV. The ion fluence was in the range from 1 × 1017 He/cm2 to 8 × 1017 He/cm2. After the helium ion irradiation, the helium retention was examined by using a technique of thermal desorption spectroscopy (TDS). After the irradiation, the blisters with a size of about 0.1 μm were observed at the surface, and the blister density increased with the ion fluence. Two desorption peaks were observed at approximately 500 and 1200 K in the thermal desorption spectrum. When the ion fluence was low, the retained helium desorbed mainly at the higher temperature regime. As increase of the ion fluence, the desorption at the lower temperature peak increased and the retained amount of helium saturated. The saturated amount was approximately 2.5 × 1017 He/cm2. This value was comparable with those of the other plasma facing materials such as graphite.  相似文献   

7.
-Al2O3 single crystals were bombarded with MeV xenon ions from 1015 to 1017 ions cm−2 and GeV uranium ions from 1011 to 1013 ions cm−2 to study the surface swelling of sapphire at 77 and 300 K due to atomic collision processes (Xe) and electronic energy loss processes in the 20–45 keV/nm regime (U). The induced damage was studied by channeling Rutherford backscattering. Surface swelling was measured with a profilometer. The step height induced by nuclear cascades of MeV xenon increases with the ion fluence and saturates. With GeV uranium, an electronic stopping power threshold for surface swelling was observed and the step height increased with the damage for dE/dx higher than this threshold.  相似文献   

8.
The synthesis of SiGe/Si heterostructures by Ge+ ion implantation is reported. 400 keV Ge+ ions were implanted at doses ranging from 3 × 1016 to 10 × 1016 ions/cm2 into (001) Si wafers, followed by Si+ amorphisation and low temperature Solid Phase Epitaxial Regrowth (SPER). TEM investigations show that strained alloys can be fabricated if the elastic strain energy (Eel) of the SiGe layer does not exceed a critical value (Eel) of about 300 mJ/m2, which is independent of the implantation energy. Our analysis also suggests that “hairpin” dislocations are formed as strain relieving defects in relaxed structures. A “strain relaxation” model is proposed to explain their formation.  相似文献   

9.
Au+ ion implantation with fluences from 1 × 1014 to 3 × 1016 cm−2 into 12CaO · 7Al2O3 (C12A7) single crystals was carried out at a sample temperature of 600 °C. The implanted sample with the fluence of 1 × 1015 cm−2 exhibited photoluminescence (PL) bands peaking at 3.1 and 2.3 eV at 150 K when excited by He–Cd laser (325 nm). This was the first observation of PL from C12A7. These two PL bands are possibly due to intra-ionic transitions of an Au ion having the electronic configuration of 6s2, judged from their similarities to those reported on Au ions in alkali halides. However, when the concentration of the implanted Au ions exceeded the theoretical maximum value of anions encaged in C12A7 (2.3 × 1021 cm−3), surface plasmon absorption appeared in the optical absorption spectrum, suggesting Au colloids were formed at such high fluences. These observations indicate that negative gold ions are formed in the cages of C12A7 by the Au+ implantation if an appropriate fluence is chosen.  相似文献   

10.
We have performed high-dose Fe ion implantation into Si and characterized ion-beam-induced microstructures as well as annealing-induced ones using transmission electron microscopy (TEM) and grazing-incidence X-ray diffraction (GIXRD). Single crystals of Si(1 0 0) substrate were irradiated at 623 K with 120 keV Fe+ ions to a fluence of 4 × 1017 cm−2. The irradiated samples were then annealed in a vacuum furnace at temperatures ranging from 773 K to 1073 K. Cross-sectional TEM observations and GIXRD measurements revealed that a layered structure is formed in the as-implanted specimen with ε-FeSi, β-FeSi2 and damaged Si, as component layers. A continuous β-FeSi2 layer was formed on the topmost layer of the Si substrate after thermal annealing.  相似文献   

11.
Single crystals of TiO2 (rutile) were implanted at room temperature with Ar, Sn and W ions applying fluences of 1015/cm2 to 1016/cm2 at 300 keV. The lattice location, together with ion range and damage distribution was measured with Rutherford Backscattering and Channeling (RBS-C). The conductivity, σ, was measured as a function of temperature. The implanted Sn and W atoms were entirely substitutional on Ti sites in the applied fluence region, where the radiation damage did not yet reach the random level. A large σ increase was observed for all implants at displacement per atom values (dpa) below 1. Above dpa = 1, σ reveals a saturation value of 0.3 Ω−1 cm−1 for Ar implants, while for W and Sn implants a further increase of σ up to 30 Ω−1 cm−1 was measured. Between 70 K and 293 K ln σ was proportional to T−1/2, (Ar,W) and T−1/4 (Sn), indicating that the transport mechanism is due to variable range hopping.  相似文献   

12.
The effects of ion implantation on the electrical and structural properties of poly(dimethylsilylene-co-methylphenylsilylene), (DMMPS) thin films have been investigated. Ionic species of krypton, arsenic, fluorine, chlorine, and sulfur were implanted at energies ranging from 35 to 200 keV and with doses of up to 1 × 1016 ion cm2. The conductivity of the polymer increased upon implantation reaching a maximum value of 9.6 × 10−6 (Ω cm)−1 for the case of arsenic ion at a dose of 1 × 1016 ion cm2 and energy of 100 keV. The results showed that ion implantation induced conduction in DMMPS was primarily due to structural modifications of the material brought about by the, energetic ions. Infrared analysis and Auger electron spectroscopy showed evidence for the formation of a silicon carbide-like structure upon implantation.  相似文献   

13.
Thermal SiO2 films have been implanted with Si+ ions using double-energy implants (200 + 100 keV) at a substrate temperature of about −20°C to total doses in the range 1.6 × 1016−1.6 × 1017 cm−2 followed by short-time thermal processing, in order to form a Si nanostructure capable of yielding blue photoluminescence (PL). The intensity and the peak position of the PL band have been investigated as a function of ion dose, manner of heat treatment, anneal time and anneal temperature. For the formation of blue PL emitting centres, optimum processing conditions in terms of excess Si concentration and overall thermal budget are mandatory. The nature of the observed blue emission is discussed.  相似文献   

14.
Many previous studies of ion-implanted sapphire have used gas-forming light ions or heavier metallic cations. In this study, boron (1017 cm−2, 150 keV) was implanted in c-axis crystals at room temperature, 500 and 1000 °C as part of a continuing study of cascade density and “chemical” effects on the structure of sapphire. Rutherford backscattering-ion channeling (RBS-C) of the RT samples indicated little residual disorder in the Al-sublattice to a depth of 50–75 nm but almost random scattering at the depth of peak damage energy deposition. The transmission electron micrographs contain “black-spot” damage features. The residual disorder is much less at all depths for samples implanted at 1000 °C. The TEM photographs show a coarse “black-spot damage” microstructure. The optical absorption at 205 nm is much greater than for samples implanted with C, N, or Fe under similar conditions.  相似文献   

15.
In an oxygen planar RF magnetron sputtering discharge, the time-averaged flux and energy of positive ions drifting out of the plasma and striking the substrate surface have been determined as a function of RF discharge power over a range of 100 to 1000 W, and as a function of chamber pressure from 0.2 to 6 Pa by measurement of ion-current density and time-averaged plasma sheath potential at the substrate. These data were related to the resulting crystal structure of the deposited ZnO films which had been studied in detail using well-known methods of X-ray diffraction. The impact energy of the positive ions bombarding the growing film varies from some 10 eV to close 50 eV depending on magnetron RF discharge power and oxygen pressure, respectively. The incident ion flux was found to be below 1× 1015 cm−2s−1 up to 1 × 1016 cm−2s−1, a value of the same order of magnitude as that for the condensing rate of sputtered ZnO species. The structural results obtained show that both the ion energy and the ion flux in the range mentioned above cause significant changes in the degree of crystallinity, preferred orientation and texture sharpness of the deposited ZnO films. Furthermore, positive ion bombardment during film growth has been found to alter the ZnO unit cell dimension up to 2% relative to the equilibrium bulk or powder value which is responsible for the formation of strong compressive residual stress of up to several GPa within the ZnO film. Following these results, one of the criterions for preparing highly c-axis oriented ZnO films with columnar grain structure is to decrease both the energy and the flux of the positive ion bombardment without decreasing the deposition rate of ZnO species. At a such slight-bombardment RF magnetron deposition the compressive residual stress of the ZnO film can be reduced towards zero.  相似文献   

16.
Molecular dynamics (MD) and Monte-Carlo (MC) simulations of low-energy (<500 eV) Ar ion irradiation on Si substrates were performed in order to investigate the mixing and sputtering effects. Both MD and MC simulation show similar results in sputtering yield, depth profile of projectile and mixing of substrate. For these incident energies, the depth of the mixed region is determined by the implant range of incident ions. For example, when the incident energy is 500 eV, the Ar ions reach a depth of 40 Å so that the Si atoms that reside shallower than 40 Å are fully mixed at an ion dose of about 5.0×1016 atoms/cm2. The resolution of secondary ion mass spectrometry (SIMS) was also studied. It was found that the resolution of SIMS depends on the depth of mixing, which depends in turn on the implant range of the probe ions. This is because the mixing of substrate atoms occurs more frequently than sputtering, so that the information about the depth profile in the mixing region is disturbed.  相似文献   

17.
The pumping characteristic of water vapor on boron and lanthanum hexaboride films formed with an electron beam evaporator have been investigated in high vacuum between 10−4 and 10−3 Pa. The measured initial maximum pumping speeds of water for the fresh B or LaB6 films with a deposition amount from 2.3 × 1021 to 6.7× 1021 molecules/m2 separately formed on a substrate are 3.2–4.9 m3/sm2, and the saturation values of adsorbed water on these films are 2.1 ×1020−1.3 × 1021 H2O molecules/m2.  相似文献   

18.
Silica glass was implanted with negative 60 keV Cu ions at an ion flux from 5 to 75 μA/cm2 up to a fluence of 1 × 1017 ions/cm2 at initial sample temperatures of 300, 573 and 773 K. Spectra of ion-induced photon emission (IIPE) were collected in situ in the range from 250 to 850 nm. Optical absorption spectra of implanted specimens were ex situ measured in the range from 190 to 2500 nm.

IIPE spectra showed a broad band centered around 560 nm (2.2 eV) that was assigned to Cu+ solutes. The band appeared at the onset of irradiation, increased in intensity up to a fluence of about 5 × 1015 ions/cm2 and then gradually decreased indicating three stage of the ion beam synthesis of nanoclusters: accumulation of implants, nucleation and growth nanoclusters. The IIPE intensity normalized on the ion flux is independent on the ion flux below 20 μA/cm2at higher fluences. The intensity of the band increased with increasing samples temperature, when optical absorption spectra reveal the increase of Cu nanoparticles size.  相似文献   


19.
Mixing of a thin Au layer in Pt and in reversed conditions mixing of a thin Pt layer in Au due to bombardment with 7 MeV Ag ions has been measured. The Pt-Au multilayers deposited on a Si substrate were irradiated to doses of 1–6 × 1015 ions cm−2 at room temperature. The mixed profiles were measured using a SIMS apparatus with O2+ sputter ions at energy 2.5 keV. The width of the Pt marker increased from 90 to 260 Å with increasing dose. The width of the Au marker increased from 80 to 90 Å, respectively. The corresponding mixing efficiencies are 5 ± 3 (Au marker) and 90 ± 30 Å5/eV (Pt marker). The experimental results are compared with simulations based on a model which describes the atomic transport from the initial collisional phase to the late thermalized stage. The calculated values for mixing efficiencies agree reasonably well with experimental values.  相似文献   

20.
Ion implantation technology plays an important role in different fields of materials modification and has become a well established industrial technique. In semiconductor device fabrication more than 1000 ion implanters operating in the low- and medium-energy range (≤, 400 keV) are working worldwide. There is also an increasing interest in the improvement of the surface properties of metals, ceramics, and polymers by means of ion implantation. For some of the newer applications the required penetration depth is large, up to several μm, corresponding to an ion beam energy of several MeV. Furthermore, certain processes involve implant doses up to 1018 ions/cm2, which implies that high currents are needed as well. Both requirements — high ion current and high energy — are difficult to fulfill simultaneously by the commonly used static machines. Modem rf linacs combine strong radial focusing with efficient acceleration, which allows for high currents and high energy. In this paper recent developments in this field will be discussed.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号