首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
随着芯片规模和设计复杂度的增加,传统的模拟验证方法学已经成为整个验证的瓶颈。为了解决这一瓶颈问题,验证方法学从模拟验证逐步演变成形式验证,先后经过了模拟晶体管模型仿真、门级仿真以及采用点线功能模型(BFM)的事务级仿真三个阶段。SV验证方法学是在模拟验证的基础上增加了形式验证的方法;它采用以覆盖率为导向的技术、受约束的随机技术和基于断言的技术来构建全面的验证环境。以覆盖率为导向可使验证迅速达到验证的出口条件,采用随机测试用例为主代替传统的直接测试为主可使验证迅速收敛,而通过在设计中插入断言可精确验证设计的内部时序问题。实践结果表明,采用这种验证方法极大的提高了验证的效率,缩短了验证周期。  相似文献   

2.
郭安华  黄世震 《电子器件》2012,35(3):313-316
芯片设计中一个非常重要的环节是验证.随着FPGA技术的迅速发展使基于FPGA的原型验证被广泛的用于ASIC的开发过程,FPGA原型验证是ASIC有效的验证途径,但传统FPGA原型验证的可视性非常差.为了解决传统FPGA原型验证可视性的问题,验证工程师采用了结合TotalRecall技术的FPGA原型验证方法对一款鼠标芯片进行验证.获得该方法不仅能提供100%的可视性,还确保FPGA原型验证以实时硬件速度运行.该方法创新了ASIC的验证方法学.  相似文献   

3.
为了缩短专用集成电路和片上系统的功能验证周期,该文提出FPGA硬核处理器系统加速数字电路功能验证的方法。所提方法综合软件仿真功能验证和现场可编程门阵列原型验证的优点,利用集成在片上系统现场可编程门阵列器件中的硬核处理器系统作为验证激励发生单元和功能验证覆盖率分析单元,解决了验证速度和灵活性不能统一的问题。与软件仿真验证相比,所提方法可以有效缩短数字电路的功能验证时间;在功能验证效率和验证知识产权可重用方面表现优于现有的FPGA原型验证技术。  相似文献   

4.
一种在电路SOC验证接口设计方法研究   总被引:3,自引:3,他引:0  
SoC已经成为嵌入式系统设计中的关键器件,验证又是SoC设计的关键环节,占用SoC设计过程中60%以上的时间.专用测试设备及JTAG接口等主流SoC验证手段不便于SoC在系统联调时的验证.本文介绍了一种在电路SoC验证接口的设计方法,这种验证方法弥补了主流SoC验证方法在系统验证的不足,提高了SoC验证的效率.  相似文献   

5.
结合断言与覆盖率为导向的验证方法   总被引:5,自引:2,他引:3  
伴随着半导体工艺的不断发展,可以将更多的功能集成到单系统芯片上.这对传统的验证方法和验证途径提出了种种挑战.以覆盖率为导向的验证方法中,覆盖率模型是在外部通过DUT执行的功能来统计覆盖率,很难侦测到DUT内部的工作状态,存在对功能"遗漏点"的侦测.基于断言的验证方法可以将断言加入到DUT内部,通过断言覆盖加强覆盖率检测.阐述了将断言和覆盖率为导向相结合的验证方法,并用此种方法对USB2.0系统进行了验证.讨论了如何将两种验证方法有效地结合,并且通过比较覆盖率为导向的验证方法与结合断言与覆盖率为导向的验证方法的结果,说明结合断言与覆盖率为导向的验证方法提高了验证过程中的观测性,减少了验证周期.  相似文献   

6.
张挺  陈岚  冯燕 《微电子学与计算机》2012,29(6):150-152,157
随着集成电路设计复杂程度的不断提高.功能验证越来越受到重视.一种新兴的验证方法,基于断言的验证,得到越来越广泛的应用.介绍了基于断言的验证方法.及其在WISHBONE到AHB转换接口验证中的应用,总结了断言验证在功能验证中的优势和特点.  相似文献   

7.
SOC层次化验证方法及应用   总被引:5,自引:0,他引:5  
首先对SOC功能验证做了简要介绍,然后主要讨论了功能验证中的层次化验证方法,并以一个基于AMBA总线架构的SOC系统为例,从模块级、子系统级和系统级三个方面分别阐述了如何用层次化的方法进行验证。层次化验证方法主要分三层,第一层测试主要验证接口协议;第二层测试是对随机产生的大量的交易序列的测试;第三层测试主要是对特定的逻辑功能进行验证。每一层都是构建于其他层之上,这使得层与层之间衔接非常紧密,以便于在完成了第一层的测试之后可以快速地扩展到第二层进行测试,层次化验证方法的应用大大地提高了验证环境的执行效率。  相似文献   

8.
本文主要运用了可重用性和层次化的验证方法来建立模块化的高质量验证平台.通过将IP单元验证平台的模块部分甚至全部重用到SoC系统验证平台中,有效减少了构造验证平台的时间;通过层次化的验证方法的应用,有效提高了验证环境的执行效率;并以一个基于PWT架构的SoC系统为例从模块级,系统级两个方面应用了这种方法进行验证.  相似文献   

9.
功能验证是嵌入式CPU设计中一项复杂而重要的工作.针对某8位嵌入式CPU的设计要求,提出了一种嵌入式CPU的高度集成化的功能验证平台.该验证平台集成了整个功能验证流程,包括验证程序开发、验证程序调试、验证数据生成、验证Testbench、验证配置环境、覆盖率分析、结果比较和分析及基于FPGA的硬件验证平台等.验证平台通过代码覆盖率的分析来改善验证的完备性.该验证平台原理清晰,结构简单,扩展灵活,提高了功能验证的效率和自动程度,对其它CPU验证平台的设计具有一定的参考价值.  相似文献   

10.
随着集成电路设计的复杂度越来越高,系统验证的难度也在不断地提高.为了能更有效地完成验证工作,需要采用先进的验证方法来构建高性能验证平台.本文介绍的项目中,采用了多种先进验证技术,使用RVM分层结构,混合验证语言,集成多种验证IP,构建了一个存储系统的高效验证平台,探索了复杂系统验证平台设计与搭建之路.  相似文献   

11.
本文基于VMM验证平台,介绍了高速串行收发器芯片的验证方法。文章首先简要介绍了Serdes芯片和VMM验证方法,然后搭建了Serdes芯片的VMM统一验证平台,并从测试激励产生、寄存器读写控制、覆盖率自动统计、断言验证及覆盖率收敛等几个方面详细阐述了Serdes芯片的验证过程。最后给出了验证结果和测试报告。  相似文献   

12.
魏文强  杜慧敏 《电子科技》2014,27(7):109-112
现有基于断言、形式化等的验证方法可保证电路按要求工作,但无法完成对设计的全验证。文中将软件验证环境与硬件加速器相结合,组成了软硬件联合验证平台,其在结构上既发挥了硬件加速器运行速度快的优点,又利用了面向对象的验证方法和可重用性高的优势。实验结果表明,针对复杂电路,验证平台使验证效率和验证覆盖率提高了3~10倍。  相似文献   

13.
基于E语言的外部存储器接口的功能验证   总被引:2,自引:0,他引:2  
在SoC设计中,传统功能验证方法已显示出其缺点,主要问题有:复杂验证场景难以构建;边缘情况难以覆盖。针对这些问题,业界提出了一种新的功能验证方法学——受限随机矢量生成的功能验证,该方法在满足约束条件的前提下,随机产生验证矢量。本文研究了受限随机矢量生成的功能验证在SoC设计中的应用,并以基于E语言和Specman验证平台验证了SoC芯片中的外部存储器接口,给出了具体的验证环境和验证步骤。验证结果表明,复杂验证场景和边缘情况的覆盖率均达到了100%。极大地提高了验证的效率和质量。  相似文献   

14.
董杨鑫  郑建宏 《电子质量》2007,22(10):53-56
验证在SoC设计过程中有十分重要的作用,它将影响到芯片的整体开销和质量.本文首先介绍了当前业界比较常用的一些验证技术的特点,包括仿真技术、静态验证技术、形式验证、物理验证等,然后通过实例论述在SoC设计验证中的关键技术--重用技术、随机约束验证、自检技术和形式断言验证.  相似文献   

15.
面向系统芯片的验证策略   总被引:1,自引:0,他引:1  
随着集成电路的设计规模不断增大,芯片的验证工作变得越来越重要。文章首先回顾了一些常用的验证技术,然后分别讨论了SOC设计中所要进行的模块单独验证、芯片的全功能验证以及系统的软、硬件协同验证。  相似文献   

16.
万超  申敏 《微电子学》2007,37(5):648-650,655
介绍了当前SOC验证领域的可重用性策略和RVM层次化验证平台的结构;以USB为例,给出了利用RVM搭建模块级验证平台的方法;阐述了如何使RVM验证平台重用于不同的IP核之间,以及如何把模块级验证平台重用到系统级验证平台上。  相似文献   

17.
随着硬件设计复杂度的提高,设计的后期验证在设计生命周期中占据的比重也越来越大。能否对设计进行全面有效的验证,是验证人员所面临的主要问题。采用SystemVerilog语言对SRAM控制器IP核搭建验证环境,并结合SVA断言技术对其实行监控,得出代码及功能覆盖率数据。通过与传统的验证方法对比分析可知,基于SystemVerilog的验证方法更加全面有效,提高了验证质量。  相似文献   

18.
Complex system maintainability verification is always a challenging problem due to limited sample sizes. Consequently, conducting maintenance experiments in a laboratory environment is an appropriate way to obtain data for maintainability verification. In maintenance experiments, faults are seeded in the equipment and maintenance activities are implemented to record repair time. In this process, two problems arise when laboratory experimental data (in-lab data) are used together with field data during the operational test and evaluation stage. The first problem is the verification of segmental maintenance data and the second one is the combination of in-lab data and field data for integrative maintainability verification. Regarding the problems mentioned above, this paper proposes a suitable methodology to solve them. Firstly, the idea of segmentally weighted verification is adopted and the segmentally weighted verification (SWV) method is proposed to realize in-lab data verification. Secondly, the Dempster-Shafer (D-S) evidence theory based integrative verification method is presented to solve the problem of in-lab and field data combination. A case study concerning radar system maintainability verification is presented as an example of the implementation of complex system maintainability verification in industry.  相似文献   

19.
随着半导体工艺的发展,SoC芯片的规模和复杂度日益增大,传统的验证方法已经不能满足要求.本文介绍了基于SystemVerilog验证语言的形式化验证和VMM验证这两种功能验证的方法,并且结合使用这两种方法对一个UART接口模块进行了验证,在保证验证完备性的基础上,有效地提高了功能验证的效率.  相似文献   

20.
对大容量FPGA芯片进行功能验证时,如何提高验证效率以及验证用例的覆盖率已经成为缩短总体产品时间所面临的挑战.针对上述问题,提出了一种高效、高速的大容量FPGA电路验证方法,可以根据验证用例需求,利用FPGA预先配置一定的功能,通过采取不同的配置文件得到最优网表.该验证方法具有灵活动态配置网表功能,可以节省仿真资源80%左右,大幅度缩短仿真时间,仿真器运行速度至少提高20倍,同时可以提高验证效率,最大限度地提高验证电路的覆盖率,能够满足大容量电路功能仿真的需求.该验证方法已成功应用于大容量FPGA电路功能验证工程实践中.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号