首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 765 毫秒
1.
李文昌  李平  李威 《微处理机》2006,27(3):14-16
介绍了复杂可编程逻辑器件(CPLD)的设计技术,重点叙述了复杂可编程逻辑器件架构的设计,关键单元设计技术。采用0.35μm内嵌Flash工艺进行模拟仿真和全定制版图设计,该复杂可编程逻辑器件(CPLD)具有72个宏单元,系统频率可达85MHz,管脚延时可达7ns。  相似文献   

2.
基于CPLD的辨向细分电路设计   总被引:12,自引:1,他引:11  
应卓瑜  梁坚  邵亮  叶秀清 《传感技术学报》2005,18(1):143-145,161
辨向细分电路在工业控制中有重要的现实意义,根据电路的特点提出了一种用复杂可编程逻辑器件(CPLD)实现辨向细分电路的方法.CPLD是一种具有丰富的可编程I/O引脚的可编程逻辑器件,具有在系统可编程、使用方便灵活的特点;不但可实现常规的逻辑器件功能,还可实现复杂的时序逻辑功能.因此该方案具有成本低廉、设计灵活和保密性强等特点.  相似文献   

3.
本文通过详细介绍PLD器件在积分式A/D转换器数字控制部分的设计,说明可编程逻辑器件(PLD)主要是复杂可编程逻辑器件(CPLD)在数字逻辑系统设计中良好的移植性及稳定性。设计中使用的器件是Altera公司的Max9000系列。  相似文献   

4.
针对系统设计通用化的需求,设计了一种使用FLASH存储器作为数据存储器件,配合微处理器程序,利用复杂可编程逻辑器件(CPLD)更新和配置可编程器件,实现对现场可编程门阵列(FPGA)程序和数字信号处理器(DSP)程序在线更新的方法。本文给出了系统构成和实现途径,并对ALTERA可编程逻辑器件和TMS320C6000系列DSP的加载和系统设计实现进行了较详细的说明。  相似文献   

5.
HDB3码是基带传输系统中经常采用的传输码型。本文阐述了HDB3码编解码电路的基本原理,在MAX+PLUSⅡ软件平台上,给出了利用复杂可编程逻辑器件设计的HDB3码编解码电路,并进行了编译和波形仿真。综合后下载到复杂可编程逻辑器件EPM7128SLC84-15中,测试结果表明,达到了预期的设计要求。  相似文献   

6.
CPLD 和FPGA 器件性能特点与应用   总被引:2,自引:1,他引:2       下载免费PDF全文
任敏  庞杰  胡庆 《传感技术学报》2002,15(2):165-168
复杂可编程逻辑器件 (CPLD)和现场可编程门阵列 (FPGA)是近年来迅速发展的大规模可编程专用集成电路(ASIC) ,在数字系统设计和控制电路中越来越受到重视 .文章介绍了这两种器件的基本结构、性能特点、设计流程及设计方法 .给出了两种器件的区别和使用中的注意事项  相似文献   

7.
可编程逻辑器件的VHDL语言优化设计方法   总被引:15,自引:1,他引:14  
刘宏杰 《测控技术》2001,20(6):32-34
叙述了可编程逻辑器件的VHDL语言典型设计流程,详细讨论了几种可更好地利用可编程逻辑器件实现特定逻辑功能以及提高器件利用率的VHDL优化设计方法。  相似文献   

8.
本文介绍了一种用复杂可编程逻辑器件(CPLD)设计DRAM控制器的设计方法,并采用VHDL语言编程实现。  相似文献   

9.
介绍了一种新型数据采集卡,其硬件设计基于可编程逻辑器件,软件设计基于COM技术规范,可根据用户需要进行动态链接、实现多种复杂测控功能。  相似文献   

10.
介绍了一种面阵CCD图像传感器VCCD512H,分析了其驱动时序信号,选用复杂可编程逻辑器件(CPLD)作为硬件设计平台,使用原理图和可视化硬件描述语言(VHDL)相结合的方法设计了其驱动时序,针对Xilinx公司的可编程逻辑器件XC9572进行适配,采用EDA软件对所设计的时序进行了仿真.仿真结果表明,该驱动时序的设计是正确的,可以满足CCD工作驱动要求.  相似文献   

11.
基于CPLD译码的DSP二次Bootloader方法   总被引:2,自引:0,他引:2  
以实际项目开发为背景,介绍了一种基于CPLD译码的TITMS320VC55x系列DSP大程序的二次引导方法。阐述了DSP与CPLD以及Flash存储器之间的硬件接口电路设计及二次Bootloader方法的实现,给出了CPLD译码的VHDL代码。实验证明,利用CPLD的快速译码实现的DSP二次Bootloader方法,接口简单、编程方便、有较强的通用性和可靠性。  相似文献   

12.
谢辉 《现代计算机》2006,(1):98-100
串行编码检测技术是CDMA等现代通信技术的重要内容之一,因其灵活性和实用性,在各种领域中都有广泛应用.本文详细介绍了串行编码检测器的工作原理,并以基于状态机的状态迁移法具体讨论了串行编码检测器的分析手段、设计方法、注意事项.最后给出了使用CPLD器件完成的一种串行编码检测器的具体实现,并添附ABEL-HDL语言源代码.本文对同类设计具有一定的理论和实践参考价值.  相似文献   

13.
基于CPLD的双音多频信号PCM编码的设计   总被引:1,自引:0,他引:1  
本文介绍了可编程逻辑器件(PLD)在双音多频信号的PCM编码中的应用。从双音多频信号的PCM编码到PCM编码信号的输出,利用CPLD、硬件描述语言VHDL及MATLAB来实现整个功能,仿真结果正确,并给出了相应的开发应用系统。  相似文献   

14.
单片机具有传输速度快、可靠性高、使用灵活等优点,常常作为一种通信接口规范应用在PC外设和便携式系统中。利用单片机与大规模CPLD的互补性,介绍了基于EDA技术的单片机与FPGA/CPLD总线接口逻辑设计,并给出了该接口芯片的单片机控制程序的源程序。结果表明该总线接口逻辑电路工作稳定、可靠,已经在高速数据采集的PC外设上得到应用。  相似文献   

15.
论述了通过微控制器实现CPLD在系统升级的方法。以AT91SAM9260CPU为硬件平台,以Linux2.6.30内核为系统软件平台,基于Xilinx官方JTAG状态机实现源码,编写了CPLD在系统升级的Linux驱动程序。并将驱动以模块加载的方式成功加载进了Linux内核。编写驱动测试程序并调试,结果表明,系统能正常执行xsvf文件,实现在系统升级CPLD。  相似文献   

16.
王颖  赵硕 《测控技术》2011,30(2):73-77
分析了织机控制技术现状,提出了CPLD扩展的嵌入式织机监控系统设计方案.硬件部分完成CPLD+ARM9硬件平台设计,给出了CPLD开发板电路及CPLD功能电路的详细设计;阐述了系统软件设计,包括织机控制终端主程序流程、织机管理终端程序设计.系统硬件调试正常,并给出软件运行效果图,系统完成了高速织机实时控制及远程监控,实...  相似文献   

17.
刘政  叶汉民 《自动化与仪表》2007,22(5):66-68,75
基于嵌入式系统中对图像实时采集的需要,提出了一种利用复杂可编程逻辑器件CPLD来设计DSP图像压缩系统中数据采集存储模块的方案,重点讨论了CPLD在数据采集过程中的工作流程和控制方法。全文详细分析了CPLD输入输出信号的逻辑控制时序关系,分别就模拟I2C总线、数据采集的逻辑功能设计、CPLD逻辑功能仿真验证等进行了详细介绍。  相似文献   

18.
文丰许辉  张文栋 《微计算机信息》2007,23(1Z):253-254,187
XCR3256是Xilinx公司推出的CoolRunner系列CPLD器件,在数字系统设计中的应用非常广泛。本文详细分析了Cool-Runner系列CPLD的特点、结构及功能,使用VHDL语言编程实现数字逻辑,实现了水下冲击波记录仪电路的数字电路部分。由于采用该器件,简化了电路设计,减小了设备体积,同时也使设备的可靠性和设计的灵活性大大提高。  相似文献   

19.
XCR3256是Xilinx公司推出的CoolRunner系列CPLD器件,在数字系统设计中的应用非常广泛。本文详细分析了Cool-Runner系列CPLD的特点、结构及功能,使用VHDL语言编程实现数字逻辑,实现了水下冲击波记录仪电路的数字电路部分。由于采用该器件,简化了电路设计,减小了设备体积,同时也使设备的可靠性和设计的灵活性大大提高。  相似文献   

20.
丁磊 《微计算机信息》2006,22(26):172-174
本文介绍了一种CPLD芯片在基于单片机控制的多入多出系统中的应用,详细说明了根据实际新型按摩浴缸需求进行CPLD芯片设计的方法,主要突出了CPLD在特定应用下的灵活性、易用性,最后给出了所设计CPLD芯片的实际应用效果。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号