首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 15 毫秒
1.
新书架     
<正> 《SystemView系统设计及仿真入门与应用(含光盘)》李东生等编著。出版日期:2002年3月,266页。邮购价:39.00元。System View软件是目前国内较流行的一个动态系统设计、仿真和分析的可视化设计软件,作为开发电子系统的模拟和数字工具,它主要用于以下几个方面:信号处理、通信和控制系统、DSP以及线性和非线性系统等。本书通过大量实例介绍了System View软件的使用方法,系统设计和仿真技术,详细地讲解了在System View环境下使用C语言编程及使用特殊功能库的方法。本书适合于通信电子类高校的师生,也适用于专业培训班和工程技术人员。 《VHDL数字系统设计与高层次综合》林敏等编著。出版日期:2002年1月,391页。邮购价:40.00元。本书全面、系统地介绍了国际标准的硬件描述语言VHDL以及VHDL在现代集成电路设计中的应用,对VHDL和基于VHDL的集成电路设计中的有关问题进行了深入细致的讲解,并结合理论分析了大量实例,使本书兼具知识性和实用性。全书内容共分8章。第1、2、3章介绍了集成电路设计中的基本  相似文献   

2.
资讯书坊     
内容简介:本书从实验、实践、实用的角度详细叙述了PROTEUS在单片机课程教学和单片机应用产品研发中的应用,总结了作者应用PROTEUS的体会与经验。本书第1~5章、第8章讲述了PROTEUS结构、功能、特点和单片机系统的PROTEUS设计与仿真;第6章讲述了单片机应用产品的PROTEUS设计与仿真;第7章讲述了多页设计、层次设计、建模技术,以及与第三方集成开发环境联合仿真的PROTEUS深层应用,并将PROTEUS高级图表仿真、虚拟示波器、逻辑分析仪等穿插于各实例中详细讲述.本书中有众多实例,它们均来源于作者的亲身实践.  相似文献   

3.
VHDL是用于逻辑设计的硬件描述语言,具有齐全的设计技术,应用方法也比较灵活,能够解决信息交换和设计维护方面的困难,文章介绍了VHDL语言在数字逻辑电路设计中的应用方法,以便大家更好地掌握VHDL语言的应用。  相似文献   

4.
新书架     
《世界流行单片机技术手册——日本系列》主编余永权。邮购价:60.00元。本书介绍日本的NEC、富士通、日立、东芝、爱普生和三菱等6家公司的单片机,包括有关单片机的基本原理、选购指南以及实际应用例子。本书可供进行单片机应用开发设计的技术人员参考使用,也可作为学生教学设计参考资料。《FPGA/VHDL快速工程实践入门与提高》杨恒、卢飞成编著。邮购价:25.00元。FPGA/VHDL技术是近年来计算机与电子技术领域的又一场革命。本书以Altera公司的FPGA/CPLD为主详细介绍了FPGA的相关知识、MAX+PLUS Ⅱ开发环境和VHDL语言基础,…  相似文献   

5.
图书推介     
PCB和电磁兼容设计定价:28元本书系统地讲述了PCB和电磁兼容设计的理论和实际应用知识。内容包括电磁兼容的基本知识、PCB设计过程中如何实现电路板的电磁兼容、传输线和中断技术,EMI 滤波器以及与电磁兼容相关的屏蔽技术等。本书读者对象为从事PCB和电磁兼容设计的工程技术人员,同时也适合高校师生学习参考,是一本全面且实用的有关PCB和电磁兼容设计的学习教程。  相似文献   

6.
VHDL语言是一种标准化的硬件描述语言,广泛应用于电子线路设计。本文介绍了在实际应用中,基于VHDL语言的硬件接口设计开发及技巧。  相似文献   

7.
指出了电类专业的学生,应该熟悉掌握VHDL语言,探讨了把硬件描述语言引入数字电路教学首先要向学生介绍VHDL语言设计的基本内容,然后要求学生完成一个VHDL语言的综合设计。实践表明,这种方法有助于克服学习VHDL语言中的一些难点。  相似文献   

8.
基于VHDL的数字系统设计具有设计技术齐全、方法灵活、支持广泛等优点,同时也是EDA技术的重要组成部分。文章用VHDL语言设计了左移法和进位节省法实现的两种组合乘法器,通过功能仿真,对两种乘法器的性能进行了比较,从而得知后者的传输延迟时间小,即速度较快。通过设计实例,介绍了利用VHDL语言进行数字系统设计的方法。  相似文献   

9.
张志伟 《电子世界》2014,(1):126-127
本文介绍了基于VHDL的六层电梯控制系统设计的总体设计方案,详细描述了六层电梯内部功能模块的工作原理。利用硬件描述语言VHDL对功能模块进行编译,仿真结果表明,此设计能够遵循方向优先的原则正常工作。  相似文献   

10.
VHDL中信号与变量的教学体会   总被引:1,自引:0,他引:1  
针对在VHDL语言课程教学中,如何设置数字电路设计中的数据对象的若干教学问题进行了探讨。文中首先论述了VHDL语言的数据对象的一些基本概念;重点阐述了在教学中如何通过实例使学生能够分清VHDL语言的信号与变量这两个极易混淆数据对象的使用区别;同时,指出了在应用其进行设计过程中应注意的一些问题。从几次的教学效果来看,本文提出的方法对VHDL语言教学,以及VHDL设计都具有一定的指导意义。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号