首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 171 毫秒
1.
用于FPGA的多层次集成设计系统的设计与实现   总被引:2,自引:0,他引:2  
针对当前现场可编程门阵列(field programmable gate array,FPGA)领域,电子设计自动化(electronic design automation,EDA)工具集成度不够高、不具备用户自主设计FPGA芯片的功能等问题,设计并实现一套完整的FPGA多层次集成设计系统(versatile design system,VDS).该系统包括高度集成的设计开发环境和FPGA芯片级到系统级的设计与验证工具,为设计、应用和验证自主研发的FPGA芯片提供了一个有效平台.VDS的显著特点在于提供了全自动芯片生成功能,使用户能根据自身需要灵活控制芯片的规模和功能,快速开发一系列的适应不同应用的FPGA.借助VDS成功设计出两款FPGA芯片,通过对FPGA进行电路设计以及对芯片和应用进行仿真与验证,证明了VDS的有效可行.  相似文献   

2.
介绍了以FPGA为核心控制模块的数据采集系统.设计中采用自上而下的方法,将FPGA分为几个模块,并论述各模块的功能和设计方法.FPGA模块采用VHDL语言进行仿真.整个系统可以实现8路最大工作频率为5 MHz语音信号的采集.  相似文献   

3.
该文采用最新的基于EAPR的动态部分重构的方法,利用IP核构建片上系统的思想,设计出PowerPC405加FPGA的硬件平台设计可重构系统,FPGA采用CompactFlash配置方式,由硬核处理器PPC405控制内部配置访问接口实现动态部分可重构.该设计实现了硬件资源的时分复用,提高了FPGA的利用率,缩短了重配置时...  相似文献   

4.
在超声导波接收系统中,为了抑制采集信号中的噪声,在超声导波接收系统信号采集端,设计基于FPGA的FIR数字滤波器对信号进行滤波处理.介绍FIR滤波器的数学原理,讨论了在FPGA中实现并行结构FIR滤波器的方法.利用MATLAB设计FIR滤波器,并在FPGA中利用Verilog编程实现该滤波器.在Model Sim中进行仿真,能够达到滤波要求.结果表明:在开发的系统中对设计的FIR滤波器进行实际超声导波信号测试,能够实现良好的滤波.  相似文献   

5.
嵌入式系统作为当前物联网时代的核心技术产品,其技术发展直接影响着现代物联网社会的发展.本文首先探讨了FPGA设计中混合式实时操作系统整体设计的框架,并对信号管理软件部分设计和硬件部分设计进行深入探索和设计,为FPGA设计中信号量管理硬件电路的设计提供资料参考.  相似文献   

6.
通过对无线通信系统基带信号调制解调方法的研究,提出了一种基于FPGA的4QAM调制解调系统的设计及实现方案.分析了QAM调制解调的基本原理,给出了系统的硬件架构及相关器件的选型.重点介绍了基于状态机模型的FPGA功能模块.实验分析结果表明:基于FPGA的4QAM调制解调系统在数据传输方面具有较高的准确率,在系统运行的稳定性方面具有很高的可靠性,该方案具有较高的应用价值.  相似文献   

7.
介绍了一种以FPGA芯片作为处理器的锂电池检测系统的设计过程,着重阐述了系统的硬件实现和基于Verilog HDL的软件设计.系统以FPGA为控制核心,对锂电池组的电压、电流和温度进行数据采集,实现数据发送和数据接收的串口通信,从而达到实时检测的目的.通过仿真分析,系统功能强大、结构简单、性能稳定,满足了设计的实际需求.  相似文献   

8.
为研制高性能的全数字交流伺服驱动系统,设计了基于FPGA的单芯片伺服控制方案.采用现代EDA设计方法,使用Verilog硬件描述语言构建了永磁同步电动机矢量控制系统的坐标变换、空间矢量脉宽调制(SVPWM)、电流环、速度环以及串行通讯等电机控制模块的硬件逻辑电路,并进行了仿真验证,最后在Xilinx3S400 FPGA中实现了永磁同步电动机转子磁场定向控制.仿真和实验结果表明,系统具有很好的机械特性、力矩特性和动态性能,从而验证了使用FPGA设计高性能的全数字单芯片交流伺服驱动系统具有较高的可行性.  相似文献   

9.
在数字电视系统中,为了满足系统对高速数据的采集的缓存需求,通过研究FIFO的工作原理,利用FPGA和SDRAM设计了一种高速大容量的异步FIFO.介绍了SDRAM的存储结构及操作方法,阐述了基于SDRAM控制器的异步FIFO的设计方法,结合实际,完成了在数字电视系统中基于FPGA和SDRAM的大容量异步FIFO的设计与实现,有效的解决了数字电视系统中对高速视频处理时的海量缓存问题.  相似文献   

10.
数字同步电路的FPGA集成设计   总被引:1,自引:0,他引:1  
在MAXPLUSⅡ平台上采用图形设计和VHDL硬件描述语言设计方式,设计了数字通信系统的位同步电路和帧同步电路,编译仿真后下载到一片FPGA芯片上,形成在线可编程嵌入式系统.整个电路集成在一片FPGA芯片上,不仅集成度高、功耗小、可靠性好、调试维护方便,而且形成了自己的技术内核.  相似文献   

11.
基于现场可编程门阵列的步进电机控制系统   总被引:1,自引:0,他引:1  
介绍一种基于现场可编程门阵列器件的步进电机控制系统.利用VHDL语言编程,通过控制步进电机的输入脉冲实现其常速和加减速转动.系统采用有限状态机设计脉冲分配并利用脉宽调制方法实现步距角的细分控制.现场可编程门阵列大大提高了系统的集成度和可靠性.仿真结果表明该系统对电机的控制平稳、精确、迅速.  相似文献   

12.
设计了3种基于FPGA的乘法器,结合乘法器在电能采集中的应用,介绍了一种基于FP-GA乘法器的多路数据采集系统,给出了硬件原理框图,讨论了不同乘法器在该系统中的应用.各乘法器设计使用VHDL语言,并在QuartusⅡ中完成设计和仿真.  相似文献   

13.
利用在系统可编程逻辑器件CPLD和FPGA芯片进行数字系统设计时,采用不同的设计算法,对芯片资源的利用率会有不同的结果;因此,用VHDL源代码进行数字系统的设计实现时,为提高芯片资源的利用率,降低功耗,从设计开始就必须考虑一种适合于VHDL源代码综合和能够优化利用所选用芯片资源的设计算法;将系统按功能划分、按多进程进行算法描述,用VHDL源代码描述和综合,最后通过网表文件可得到综合结果;经综合结果分析,可找出一种最优的芯片内逻辑门阵列、寄存器、函数发生器、加法器、存储器和快速进位逻辑等资源的合理使用,使数字系统响应速度尽可能的快,功耗尽可能的低。  相似文献   

14.
目的 研究利用FPGA实现与PCI接口芯片之间数据交换接口电路的设计.方法分析PCI协议及AMCC公司的PCI接口芯片S5933,利用VHDL语言设计接口电路,并利用EDA软件和FPGA实现.结果 提出一种利用FPGA与S5933进行数据通信的方案及具体实现.结论 利用FPGA可以实现与PCI接口芯片进行数据通讯,解决了设计PCI设备所遇到的难题.  相似文献   

15.
基于Fuzzy-PID的电液位置伺服控制系统的FPGA设计与实现   总被引:2,自引:0,他引:2  
本文以电液位置伺服机械手第一关节为研究对象,设计了一种基于VHDL设计、FPGA实现的Fuzzy-PID控制器。分析了模糊(Fuzzy)自整定PID参数的模糊逻辑推理和控制器算法结构,根据自顶向下的流程,对Fuzzy-PID控制器进行了VHDL分层设计,详细说明了模糊逻辑推理、模糊自整定PID电路架构、数据缓存和I/O接口控制的设计原理,最后下载到FPGA芯片实现了Fuzzy-PID控制器。实验表明,FPGA作为单一控制器实现Fuzzy-PID控制算法是可行的和有效的。  相似文献   

16.
基于FPGA(现场可编程门阵列)芯片,利用VHDL语言,介绍了一种通用乐曲演奏电路的设计,可实现多个八度音阶的乐曲演奏,与简谱的对应关系简单,编程方便,占用资源少,通用性好,可作为IP core模块引用,构成复杂的SOPC系统.  相似文献   

17.
介绍了用于SDH系统中E1接口电路———数字分接复用器的专用集成电路 (ASIC)的VHDL电路设计及FPGA实现。该分接复用器电路用纯数字同步方式实现 ,可完成SDH系统接口电路中 7路 (可扩展成N路 )E1数据流的分接和复用。该设计输入采用VHDL和状态转移图。  相似文献   

18.
19.
提出了一种基于FPGA的UART的实现方法.利用有限状态机和硬件描述语言VHDL实现了通用异步收发器UART IP核的设计,给出了用VHDL实现UART的数学模型,并进行了仿真分析.结果表明,各项通信指标均满足要求,并可提高系统的可靠性和稳定性.  相似文献   

20.
Xilinx ISE集成综合环境是Xilinx公司的现场可编程逻辑器件数字电路开发工具集,其集成的工具可以使设计人员方便、快速地完成FPGA/CPLD数字电路开发全过程。通过介绍一个16进制加法器的设计实现实例.描述了如何基于ISE平台使用VHDL语言进行FPGA电路设计的原理和方法。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号