首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
《Ceramics International》2022,48(14):19900-19912
Zirconia ceramics are the promising materials for cell phone backplanes in the 5G era, and smoother surfaces and higher removal efficiency are sought after for their precision machining. Although nanodiamond abrasives have high polishing rates, it is easy to bring mechanical scratches and pits on the ceramic surface because of their high hardness, resulting in degradation of the surface quality of the finished workpiece. Therefore, polyacrylamide grafted nanodiamond particles were prepared by solution polymerization method for polishing ceramic wafers. As confirmed by Fourier transform infrared spectroscopy (FTIR), the polyacrylamide has been grafted on the nanodiamond surface. According to the scanning electron microscopy (SEM) and particle size distribution, the composite abrasives have better dispersion than pure nanodiamond abrasives. The results of chemical mechanical polishing (CMP) experiments showed that the composite abrasives could reduce the average surface roughness (Sa, arithmetic mean height) of zirconia ceramic from 28.31 nm to 2.68 nm (scanning area is 500 μm × 500 μm), and the polishing rate remained high compared to pure nanodiamond abrasives, showing superior CMP performance. X-ray photoelectron spectroscopy (XPS) demonstrated that solid-phase chemical reactions occurred during the polishing process to form ZrSiO4. Meanwhile, contact-wear model combined with contact angle testing indicates that the introduction of polyacrylamide increases the contact area of the nanodiamond on the zirconia wafer surface, thereby significantly enhanced the mechanical effect.  相似文献   

2.
《Ceramics International》2020,46(15):23828-23833
Zirconia ceramic, as mobile phone body-materials, will become increasingly important with the coming of 5G communication technology. Surface quality and material removal rate of zirconia ceramic cover are vital factors to determine its wide application. Therefore, mixed-shaped silica sol abrasives were prepared by ion connecting-inducting method and applied to achieve a good surface quality and a high material removal rate on zirconia ceramic cover by using chemical mechanical polishing (CMP). Mixed-shaped silica sol abrasives contained spherical and beaded shapes were measured by scanning electron microscopy (SEM). Si–O–Al bonds were formed in the mixed-shaped silica sol abrasives and were proved by X-ray photoelectron spectroscopy (XPS). Results of CMP tests showed that zirconia ceramic cover obtained a low surface roughness of 1.824 nm and an efficient material removal rate of 0.33 μm/h. Compared with traditional spherical silica sol abrasives, the polishing rate of mixed-shaped silica sol abrasives increased by 242%. Additionally, solid-phase chemical reactions happened to formed ZrSiO4, ZrAl2Si2O9 in the CMP process. Moreover, friction coefficient was tested and polishing mechanism had been explored by a contact-friction model in this work.  相似文献   

3.
The effects of ceria (CeO2) abrasives in chemical mechanical polishing (CMP) slurries were investigated on silicon dioxide (SiO2) and silicon nitride (Si3N4) polishing process. The ceria abrasives were prepared by the flux method, using potassium hydroxide (KOH) as the grain growth accelerator. The primary particle size of the ceria abrasives was controlled in the range of ~ 84-417 nm by changing the concentration of potassium hydroxide and the calcination temperature without mechanical milling process. The removal rate of silicon dioxide film strongly depended upon abrasive size up to an optimum abrasive size (295 nm) after CMP process. However, the surface uniformity deteriorated as abrasive size increases. The observed polishing results confirmed that there exists an optimum abrasive size (295 nm) for maximum removal selectivity between oxide and nitride films. In this study, polishing behaviors of the ceria abrasives were discussed in terms of morphological characteristics.  相似文献   

4.
《Ceramics International》2022,48(12):17185-17195
This study introduces micro-nano bubbles (MNBs) in the process of polishing zirconia ceramics through sodium borohydride hydrolysis to assist in polishing yttria-stabilized zirconia (YSZ). Compared with conventional silica sol, the material removal rate using this MNB-assisted technology is increased by 261.4%, and a lower surface roughness of 1.28 nm can be obtained. Raman, X-ray diffraction, and X-ray photoelectron spectroscopy are used to study the structural changes and phase stability of the YSZ during different polishing periods. The results show that MNBs are the key factor promoting the transformation from the tetragonal phase to the monoclinic phase on the surface of the YSZ during polishing. The H2O molecules (or OH? ions) on the surface of the YSZ are driven by the thermal kinetic energy of the micro-jets formed by the collapse of micro-bubbles, and they permeate to occupy more oxygen vacancies in the crystal lattice. Atomic force microscopy and nano-indentation tests show that the micro-protrusions on the surface of the YSZ preferentially undergo phase transformation, and their hardness decreases. This promotes abrasives to preferentially remove rough spots on the surface and achieve more efficient polishing. We believe this work adds valuable insights regarding low-temperature degradation and ultra-precise machining of YSZ ceramic materials.  相似文献   

5.
《Ceramics International》2021,47(22):31681-31690
A functional Fe3O4/SiO2 core–shell abrasive was synthesized via hydrolysis of tetraethyl orthosilicate. A silica shell was successfully coated on a Fe3O4 core, resulting in a core-shell particle with an average diameter of 140 nm. The prepared core–shell abrasives was utilized for ultrasound-assisted magneto-rheological polishing (UAMP) of sapphire substrate. The experimental results showed that the Fe3O4/SiO2 core–shell abrasives exhibited a remarkable polishing performance for the sapphire material, resulting in smooth and detect-free surfaces with a high material removal rate (MRR) compared to mixed abrasives (Fe3O4 and SiO2) and pure Fe3O4 particles. The application of ultrasonic vibration to the sapphire wafer further improved the MRR, which was approximately 3.4 times higher than that of traditional magneto-rheological polishing. The largest MRR (1.974 μm/h) and comparatively low surface roughness (0.442 nm) of the polished sapphire wafer were achieved by UAMP with the Fe3O4/SiO2 core–shell abrasives. The polishing mechanism of the sapphire wafer is discussed in terms of chemical reactions and mechanical polishing.  相似文献   

6.
《Ceramics International》2020,46(15):24225-24230
Traditional mobile phone backplane materials are difficult to meet the requirements of the 5G era, and zirconia ceramic is one of the most promising backplane materials. However, its precision machining is difficult due to the hard and brittle nature. In this work, a novel popcorn-like colloidal silica was prepared by the self-assembly growth of nanoparticles for chemical mechanical polishing of the yttria-stabilized tetragonal zirconia ceramic sheets. The surface of the popcorn-like colloidal silica particles has a noticeably uneven shape, and the particle size distribution is uniform. The chemical mechanical polishing results show that the material removal rate of the prepared popcorn-like colloidal silica is increased by about 50% compared with the spherical colloidal silica, and the surface morphology is also obtained improvement. In the process of chemical mechanical polishing, the particles form multi-point contact with the ceramic sheet, resulting in an increase in the coefficient of friction, which is beneficial to the tribochemical reaction. In addition, multi-point contact can distribute the load, make the indentation shallower, and help reduce mechanical scratches. In general, the expected results are expected to provide experimental basis for the optimization of the structure of chemical mechanical polishing abrasive particles.  相似文献   

7.
Colloidal silica is usually used for the chemical mechanical polishing of zirconia ceramic wafer in industry, but the process is often optimized only through experience without a precise understanding of the polishing mechanism. There are still many theoretical and technical issues, especially the material removal mechanism and the effect of polishing on the phase transformation, have not been studied in depth. In this study, the effect of the abrasive concentration, polishing pressure and slurry pH on the material removal rate was analyzed. It is found that the removal rate tends to be stable when the concentration exceeds 30 wt%; the influence of pressure on the polishing rate conforms to the Preston formula. When the pH of the slurry is 6, the removal rate is the highest, but polishing under acidic conditions will leave corrosion pits due to the dissolution of the stabilizer. Through X-ray photoelectron spectroscopy analysis of the residue on the wafer surface, it was found that Si-O-Zr bonds were formed, but it was uncertain whether the residue was zirconium silicate. Through X-ray diffraction analysis, it is found that polishing will not affect the crystal structure of zirconia. The Zr-O-Si bond formed by tribochemical action on the ceramic surface prevents the deep migration of surface hydroxyl groups. At the same time, kinetic factors will cause internal hydroxyl groups to transfer to the surface for recovery oxygen vacancies, thereby stabilizing the tetragonal phase.  相似文献   

8.
During copper chemical mechanical polishing (Cu-CMP), the physical properties of slurry, such as the dispersion and suspension stability of abrasives, the interaction between particles and the polished surface, and the rheological characteristics, greatly affect the planarization efficiency. In this study, several nonionic surfactants were added to change the aforementioned physical characteristics of slurry and Cu-CMP performance. Their effects were investigated. The experimental results showed that Al2O3 slurry with 300 ppm Triton DF-16 could enhance the wettability of the Cu surface and stabilize the dispersion of abrasives in the slurry. Therefore, the passivation reaction on the Cu surface during CMP would occur uniformly, and the removal of particles during post cleaning could be improved. Cu CMP using the slurry with an adequate amount of nonionic surfactants, Triton DF-16, is proposed to reduce the surface roughness, enhancing the planarity.  相似文献   

9.
Silica nanoparticles have been synthesized from silica fume using alkali dissolution–precipitation process. The dissolution efficiency of 99% at a temperature of 80 °C and a time of 20 min was achieved. Sodium silicate solution was obtained by dissolving the fume with NaOH solution. Then, silica nanoparticles were precipitated using sulfuric acid. Silica nanoparticles (175 nm) were achieved using 12% sulfuric acid at pH 7 and 200 ppm sodium dodecyl sulfate (SDS). The silica morphologies appeared as a spherical shape with narrow particle size distribution. The silica samples were used for the formulation and testing of chemical mechanical polishing (CMP) slurries. The morphology of the polished wafer surface and its roughness were examined by atomic force microscope (AFM).The results indicated that the surface roughness was greatly improved after application of CMP. It was found that the surface roughness of the polished wafer is 0.226 nm at an applied pressure of 7 psi. The removal rate was found to be 1200 Å. These values confirm the quality of polished wafers.  相似文献   

10.
A new idea of polishing pad called flexible nanobrush pad (FNP) has been proposed for the low down pressure chemical mechanical planarization (CMP) process of Cu/ultra-low-к materials. The FNP was designed with a surface layer of flexible brush-like nanofibers which can ‘actively’ carry nanoscale abrasives in slurry independent of the down pressure. Better planarization performances including high material removal rate, good planarization, good polishing uniformity, and low defectivity are expected in the CMP process under the low down pressure with such kind of pad. The FNP can be made by template-assisted replication or template-based synthesis methods, which will be driven by the development of the preparation technologies for ordered nanostructure arrays. The present work would potentially provide a new solution for the Cu/ultra-low-к CMP process.  相似文献   

11.
《Ceramics International》2020,46(9):13356-13364
To reveal the influence of polishing process parameters on the surface quality of sapphire after double-sided chemical mechanical polishing (CMP), the orthogonal test of sapphire wafer double-sided CMP was carried out by YH2M77110 high-precision vertical double-sided grinding/polishing machine. The effects of polishing parameters, such as polishing pressure(p), polishing carrier rotation speed(vr), and polishing time(t), were investigated regarding their effects on material removal rate (MRR), surface morphology, surface roughness (SR) and subsurface damage (SSD) depth. The experimental results showed that p and vr have the similar and significant positive correlation effects on the MRR and the SSD depth. However, as the increase of p and vr, the SR tends to decrease first and then increase. Prolonging t can effectively reduce the SR and the SSD depth but has a minor positive correlation effect on the MRR. The orthogonal experiment result optimization method based on weight matrix is used to obtain the influence degree of each factor on the orthogonal test index value. The set of optimal process parameter combinations are p = 35.37kPa, vr = 30r/min, t = 50min, which presented a higher MRR, lower SR and SSD depth. The quality and efficiency of sapphire double-sided CMP can be improved through parameter optimization according to this study.  相似文献   

12.
Significant improvements in the dimensionless thermoelectric figure-of-merit (ZT) for nanostructured bismuth telluride, Bi2Te3, and its alloys have been demonstrated. In designing high-performance thermoelectric devices, variations in the thermal and electrical contact resistances due to interfacial effects between the nanostructured alloy and the metallic electrodes remain a significant issue. Smooth scratch-free surfaces should provide a baseline for contact resistance studies. In this paper, the root mean square roughness over a 10 μm2 of nanostructured bismuth tellurium based alloys was reduced from 133 nm to 1.9 nm by a procedure consisting of electrolysis, mechanical polishing, and chemical mechanical polishing (CMP). Post-CMP cleaning was also developed to yield a wettable surface for the subsequent conformable metallization.  相似文献   

13.
《Ceramics International》2021,47(22):31691-31701
Driven by electrostatic attraction, Ce4+ ions or/and positively charged detonation nanodiamond (DND) particles can absorb onto negatively charged polystyrene (PS) spherical colloids. Three types of core-shell structured composite abrasives, PS@CeO2, PS@DND and PS@CeO2/DND, can thus be assembled. When PS@CeO2 and PS@DND were used to polish sapphire wafer at pad rotating speed of 120–150 r/min and load pressure of ~3 kg, the material removing rate (MRR) exceeded 1.0 μm h−1, 10–20 % higher than unitary abrasives. The surface profile roughness (Ra) for wafer polished by these two composite abrasives was respectively 1.25 and 0.63 nm, which is superior to CeO2 (Ra = 1.38 nm) and DND (Ra = 1.29 nm). When using PS@CeO2/DND, the polishing interface area can be increased owing to the combined effect of elastic PS spheres and intensively coated CeO2 and DND. Meanwhile, the synergistic mechanism of sapphire-CeO2 chemical reaction and the strong mechanical abrasion of DND particles benefit the polishing efficiency. MRR for this ternary composite abrasive attained 1.4–1.7 μm h−1 while sapphire can be smoothed to a sub-nanoscale roughness.  相似文献   

14.
Various ceria and colloidal silica polishing slurries were used to polish fused silica glass workpieces on a polyurethane pad. Characterization of the slurries' particle size distribution (PSD) (using both ensemble light scattering and single particle counting techniques) and of the polished workpiece surface (using atomic force microscopy) was performed. The results show the final workpiece surface roughness is quantitatively correlated with the logarithmic slope of the distribution function for the largest particles at the exponential tail end of the PSD. Using the measured PSD, fraction of pad area making contact, and mechanical properties of the workpiece, slurry, and pad as input parameters, an Ensemble Hertzian Gap (EHG) polishing model was formulated to estimate each particle's penetration, load, and contact zone. The model is based on multiple Hertzian contact of slurry particles at the workpiece–pad interface in which the effective interface gap is determined through an elastic load balance. Separately, ceria particle static contact and single pass sliding experiments were performed showing ~1‐nm depth removal per pass (i.e., a plastic type removal). Also, nanoindentation measurements on fused silica were made to estimate the critical load at which plastic type removal starts to occur (Pcrit~5 × 10?5 N). Next the EHG model was extended to create simulated polished surfaces using the Monte Carlo method where each particle (with the calculated characteristics described above) slides and removes material from the silica surface in random directions. The polishing simulation utilized a constant depth removal mechanism (i.e., not scaling with particle size) of the elastic deformation zone cross section between the particle and silica surface, which was either 0.04 nm (for chemical removal) at low loads (<Pcrit) or 1.0 nm (for plastic removal) at intermediate loads (>Pcrit). The simulated surfaces quantitatively compare well with the measured rms roughness, power spectra, surface texture, absolute thickness material removal rate, and load dependence of removal rate.  相似文献   

15.
《Ceramics International》2020,46(4):4670-4678
For abrasive particles, the type, morphology, structure, size and distribution, physio-chemical properties are usually considered as key influential factors which determine the ultra-precision polishing performance. It is commonly recognized that the structure design, surface modification, and doping treatment of abrasives contribute to achieving high-quality and high-efficiency polishing. Herein, we report the fabrication of sub-100 nm monodispersed dendritic-like mesoporous silica (D-mSiO2) with tunable structures via an oil-water biphase stratification approach. A CeO2 thin shell was subsequently coated on the D-mSiO2 nanospheres forming core/shell structured D-mSiO2/CeO2 composites. The samples were examined via XRD, SEM, TEM, SAED, DLS, FTIR, and nitrogen adsorption-desorption measurements. The polishing characteristics of the D-mSiO2/CeO2 nano-abrasives over silica films were tracked by atomic force microscopy and noncontact interferometric microscopy. Compared with commercial ceria particles, the obtained D-mSiO2/CeO2 nano-abrasives were favorable for mechanical scratch elimination and removal rate enhancement. Furthermore, an enlarged pore volume or porosity of D-mSiO2 cores achieved an atomic-scale surface with relatively low roughness, less variation, and enhanced removal rate. The mechanism of high-efficiency and defect-free polishing for the CeO2-based composites was discussed. These results may provide promising guidance in the design and optimization of novel particle abrasives.  相似文献   

16.
《Ceramics International》2023,49(6):9622-9631
As sapphire device performance continues to improve, greater challenges are posed to the chemical mechanical polishing (CMP) of sapphire, with its high degree of hardness and brittleness. M-plane sapphire substrates are not widely used because they are more difficult to process, despite having higher luminous efficiency than C-plane substrates. In this study, the effect of three hydroxyl carboxylates, namely potassium tartrate (PT), potassium citrate (Cit) and sodium gluconate (Gluc), as complexing agents on the CMP of M-plane sapphire was investigated to obtain a high material removal rate (MRR) and low root mean square surface roughness (Sq). First, the chemical reactivities of the three complexing agents were predicted with Material Studio (MS) software. The predicted results showed that the complexing ability of the three complexing agents was greatest for Gluc, followed by Cit, with PT having the least complexing ability. Experimental results confirmed that Gluc was the optimal complexing agent for the M-plane sapphire CMP. The mechanism of action during CMP was revealed by X-ray photoelectron spectroscopy (XPS) and infrared spectroscopy (FTIR). The results showed that the Al(OH)4? ions produced by the sapphire were complexed by Gluc to form the soluble complex Al(OH)4?/Gluc?. At the same time, a solid phase reaction also occurred between the M-plane sapphire, SiO2, and water during CMP, and Al2Si2O7?2H2O was generated. After polishing with the optimized slurry, the M-plane MRR was improved to 5.358 μm/h, a 50% improvement compared with the reference slurry, and the Sq decreased from 0.345 nm to 0.172 nm. These findings provide important guidance for the development of high-performance sapphire devices.  相似文献   

17.
During copper chemical mechanical polishing (Cu-CMP), the physical properties of slurry, such as the dispersion and suspension stability of abrasives, the interaction between particles and the polished surface, and the rheological characteristics, greatly affect the planarization efficiency. In this study, several nonionic surfactants were added to change the aforementioned physical characteristics of slurry and Cu-CMP performance. Their effects were investigated. The experimental results showed that Al2O3 slurry with 300 ppm Triton DF-16 could enhance the wettability of the Cu surface and stabilize the dispersion of abrasives in the slurry. Therefore, the passivation reaction on the Cu surface during CMP would occur uniformly, and the removal of particles during post cleaning could be improved. Cu CMP using the slurry with an adequate amount of nonionic surfactants, Triton DF-16, is proposed to reduce the surface roughness, enhancing the planarity.  相似文献   

18.
《Ceramics International》2023,49(8):11865-11874
3Y-TZP ceramics are prepared by solid state method and surface carburization process, and the effect of surface carburization on its the low temperature degradation is studied. The conventional sintered samples completely lost its mechanical properties after aging for 15 h, while the failure time of the surface carburized samples are 300 h. In addition, the nuclear growth rate of the surface carburized samples (αd) and the nucleation rate (Nr) is lower than that of sintered samples, αd plays a dominant role in the degradation process at low temperature and is the key factor determining the aging rate. At the same time, it is found that carbon is dissolved in zirconia lattice in the form of electrically neutral atoms, which will not destroy the original charge balance and produce new oxygen vacancies when entering the interstitial site. More importantly, the precipitation rate of Y3+ from zirconia lattice is the key factor to determine the low-temperature phase transition of tetragonal-monoclinic(T-M). The treatment method of surface carburization has significantly improved the low-temperature degradation performance of 3Y-TZP ceramics, which provides a basis for the application of zirconia ceramics in low-temperature and humid environment.  相似文献   

19.
《Ceramics International》2022,48(6):7512-7521
Zirconia ceramic is a significant structural material, but its use under some extreme circumstances is limited by its mechanical properties. In this work, SiC particles (SiCp) were added into alumina toughened zirconia ceramics to prepare ZrO2–Al2O3-SiCp ceramics with high performance by using oscillatory pressure sintering (OPS). Results showed that the best OPS temperature of 1600 °C was obtained, and the optimal SiCp particle size and content were 200 nm and 10 vol% respectively. Under these conditions, the specimen exhibited higher mechanical properties including Vickers hardness of 15.43 GPa, bending strength of 1162 MPa and fracture toughness of 6.36 MPa m1/2. Moreover, it was found that the atomic matching between ZrO2/SiCp, Al2O3/SiCp, and ZrO2/Al2O3 was much higher, showing the coherent interface relationship. Therefore, it was favorable for enhanced mechanical properties of as-prepared ZrO2–Al2O3-SiCp ceramics.  相似文献   

20.
This study reports on the effects of potassium sorbate (K[CH3(CH)4CO2]) on copper chemical mechanical planarization (CMP) performance and demonstrates how the performance can be controlled by the inhibitor concentration in the slurry. The study is a continuation of a recent report on the copper polishing mechanism in H2O2/glycine-based slurries using sorbate as an inhibitor. CMP performance with respect to the inhibitor concentration in the slurry is evaluated in terms of surface roughness, polishing uniformity and dishing values. CMP results obtained from blanket wafers show that an increased sorbate concentration provides lower roughness values. CMP data obtained from patterned wafers shows that an increased sorbate concentration provides better polishing uniformity and lower dishing values for copper lines. The high solubility of sorbate in water (up to 9 M) is a major advantage for CMP processing.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号