首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
《Vacuum》2012,86(4):380-385
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

2.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

3.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

4.
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta-N-CH and N-CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

5.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

6.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

7.
Dry etching of GaAs was investigated in BCl3, BCl3/N2 and BCl3/Ar discharges with a mechanical pump-based capacitively coupled plasma system. Etched GaAs samples were characterized using scanning electron microscopy and surface profilometry. Optical emission spectroscopy was used to monitor the BCl3-based plasma during etching. Pure BCl3 plasma was found to be suitable for GaAs etching at > 100 mTorr while producing a clean and smooth surface and vertical sidewall. Adding N2 or Ar to the BCl3 helped increase the etch rates of GaAs. For example, the GaAs etch rate was doubled with 20% N2 composition in the BCl3/N2 plasma compared to the pure BCl3 discharge at 150 W CCP power and 150 mTorr chamber pressure. The GaAs etch rate was ∼ 0.21 µm/min in the 20 sccm BCl3 plasma. The BCl3/Ar plasma also increased etch rates of GaAs with 20% of Ar in the discharge. However, the surface morphology of GaAs was strongly roughened with high percentage (> 30%) of N2 and Ar in the BCl3/N2 and BCl3/Ar plasma, respectively. Optical emission spectra showed that there was a broad BCl3-related molecular peak at 450-700 nm wavelength in the pure BCl3 plasma. When more than 50% N2 was added to the BCl3 plasma, an atomic N peak (367.05 nm) and molecular N2 peaks (550-800 nm) were detected. Etch selectivity of GaAs to photoresist decreased with the increase of % N2 and Ar in the BCl3-based plasma.  相似文献   

8.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

9.
Etch characteristics of magnetic tunnel junction (MTJ) stack masked with TiN films were investigated using an inductively coupled plasma reactive ion etcher in Cl2/Ar and BCl3/Ar gases for magnetic random access memory. The effect of etch gas on the etch profile of MTJ stacks was examined. As Cl2 and BCl3 concentrations increased, the etch slope of etched MTJ stack became slanted and the dimensional shrinkage was observed. A high degree of anisotropic etching of MTJ stacks was achieved using Cl2/Ar gas at the optimized etch conditions.  相似文献   

10.
We investigated the etch characteristics and mechanisms of Ga-doped ZnO (Ga-ZnO) thin films in HBr/X (X = Ar, He, N2, O2) inductively-coupled plasmas. The etch rates of Ga-ZnO thin films were measured as a function of the additive gas fraction in the range of 0-100% for Ar, He, N2, and O2 at a fixed gas pressure (6 mTorr), input power (700 W), bias power (200 W), and total gas flow rate (40 sccm). The plasma chemistry was analyzed using a combination of the global (zero-dimensional) plasma model and Langmuir probe diagnostics. By comparing the behavior of the etch rate and fluxes of plasma active species, we found that the Ga-ZnO etch process was not limited by ion-surface interaction kinetics and appeared in the reaction rate-limited etch regime. In the HBr/O2 plasma, the etch kinetics were probably influenced by oxidation of the etched surface.  相似文献   

11.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

12.
In this study, we investigated to the etch characteristics of indium zinc oxide (IZO) thin films in a CF4/Ar plasma, namely, etch rate and selectivity toward SiO2. A maximum etch rate of 76.6 nm/min was obtained for IZO thin films at a gas mixture ratio of CF4/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, including adaptively coupled plasma chamber pressure. X-ray photoelectron spectroscopy analysis showed efficient destruction of the oxide bonds by ion bombardment, as well as accumulation of low volatile reaction products on the surface of the etched IZO thin films. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of ion-stimulated desorption of the reaction products.  相似文献   

13.
The investigation of Al2O3 etch characteristics in the BCl3/Ar inductively coupled plasma was carried out in terms of effects of input process parameters (gas pressure, input power, bias power) on etch rate and etch selectivity over poly-Si and photoresist. It was found that, with the changes in gas pressure and input power, the Al2O3 etch rate follows the behavior of ion current density while the process rate is noticeably contributed by the chemical etch pathway. The influence of input power on the etch threshold may be connected with the concurrence of chemical and physical etch pathways in ion-assisted chemical reaction.  相似文献   

14.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

15.
Y.S. Kim  J.T. Lim  G.Y. Yeom 《Thin solid films》2009,517(14):4065-3864
SiO2-like thin films were deposited at a low temperature (< 50 °C) by a remote-type, atmospheric pressure plasma enhanced chemical vapor deposition (AP-PECVD) using a pin-to-plate-type, dielectric barrier discharge with gas mixtures containing hexamethyldisilazane (HMDS)/O2/He/Ar. The film characteristics were investigated according to the HMDS and O2 flow rates. To obtain a more SiO2-like thin film, an adequate combination of HMDS and oxygen flow rates was required to remove the -(CH3)x bonding in the HMDS and to oxidize the Si in HMDS effectively. At the optimized flow rates, the surface roughness of the SiO2-like thin film was also the lowest. By using HMDS (50 sccm) and O2 (500 sccm) flow rates in the gas mixture of HMDS/O2/He (2 slm)/Ar (600 sccm), SiO2-like thin films with a low impurity (< 6.35% C) were obtained at a deposition rate of approximately 10.7 nm/min.  相似文献   

16.
In this study, we carried out an investigation in the etching characteristics of TiN thin films in a C12/Ar adaptive coupled plasma. The maximum etch rate of the TiN thin films was 768 nm/min at a gas mixing ratio of C12 (75%)/Ar (25%). At the same time, the etch rate was measured as functions of the various etching parameters. The X-ray photoelectron spectroscopy analysis showed the efficient destruction of the oxide bonds by the ion bombardment as well as the accumulation of low volatile reaction products on the etched surface. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of the ion-stimulated desorption of the reaction products.  相似文献   

17.
Mesoporous SiO2 sieve and SiO2 nanoparticles were synthesized by a traditional method in the presence and absence of tri-block copolymer surfactant P123 as structure directed agent respectively. The characterization results show that the mesoporous SiO2 sieve has larger specific area (789 m2/g) than SiO2 nanoparticles (373 m2/g), and there exists a substantial difference in the N2 adsorption curves between the two samples. A speculative scheme shows that the formation of bottleneck during the N2 adsorption process of mesoporous SiO2 sieve should be responsible for the phenomenon.  相似文献   

18.
Liu Changshi 《Vacuum》2003,72(1):91-95
The interfacial structures of double interfaces system of Si3N4/SiO2/Si were examined using X-ray photoelectron spectroscopy (XPS) before and after 60Co radiation. The experimental results demonstrate that there existed two interfaces, one consisted of Si3N4 and SiO2, while another was made of Si and SiO2, the interface between SiO2 and Si was extended towards the interface of the Si3N4/SiO2 meanwhile the center of the former interface was removed in the direction of the latter interface by 60Co. The concentration of silicon in the Si3N4 state (BE 101.8 eV) was decreased with the variation of radiation dosage as well as bias field within the SiO2-Si interface, remarkably. The mechanism for the experimental results is analyzed.  相似文献   

19.
B.S. Kwon 《Thin solid films》2010,518(22):6451-6454
Highly selective etching of a SiO2 layer using a chemical vapor deposited (CVD) amorphous carbon (a-C) mask pattern was investigated in a dual-frequency superimposed capacitively coupled plasma etcher. The following process parameters of the C4F8/CH2F2/O2/Ar plasmas were varied: the CH2F2/(CH2F2 + O2) flow ratio (Q(CH2F2)), the high frequency power (PHF), and the low frequency power (PLF). It was found a process window exists to obtain infinitely high etch selectivity of the SiO2 layer to the CVD a-C. The process parameters of Q(CH2F2), PHF, and PLF played critical roles in determining the process window for oxide/CVD a-C etch selectivity, presumably due to the disproportionate degree of polymerization on the SiO2 and CVD a-C surfaces.  相似文献   

20.
In this article, we report the results obtained from a study carried out on the inductively coupled plasma (ICP) etching of poly-monochloro-para-xylylene (parylene-C) thin films using an O2/CF4 gas mixture. The effects of adding CF4 to the O2 plasma on the etch rates were investigated. As the CF4 gas fraction increases up to approximately 16%, the polymer etch rate increases in the range of 277-373 nm/min. In this work, the atomic force microscopy (AFM) analysis indicated that the surface roughness was reduced by the addition of CF4 to the O2 plasma. Contact angle measurements showed that the surface energy decreases with increasing CF4 fraction. At the same time, X-ray photoelectron spectroscopy (XPS) demonstrated the increase in the relative F atomic content on the surface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号