首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Preferred growth of nanocrystalline silicon (nc-Si) was first found in boron-doped hydrogenated nanocrystalline (nc-Si:H) films prepared using plasma-enhanced chemical vapor deposition system. The films were characterized by high-resolution transmission electron microscope, X-ray diffraction (XRD) spectrum and Raman Scattering spectrum. The results showed that the diffraction peaks in XRD spectrum were at 2θ≈47° and the exponent of crystalline plane of nc-Si in the film was (2 2 0). A considerable reason was electric field derived from dc bias made the bonds of Si-Si array according to a certain orient. The size and crystalline volume fraction of nc-Si in boron-doped films were intensively depended on the deposited parameters: diborane (B2H6) doping ratio in silane (SiH4), silane dilution ratio in hydrogen (H2), rf power density, substrate's temperature and reactive pressure, respectively. But preferred growth of nc-Si in the boron-doped nc-Si:H films cannot be obtained by changing these parameters.  相似文献   

2.
Multilayer thin films consisting of a-CNx:H/nc-Si:H layers prepared by radio-frequency plasma enhanced chemical vapour (r.f. PECVD) deposition technique were studied. High optical reflectivity at a specific wavelength is one of major concern for its application. By using this technique, a-CNx:H/nc-Si:H multilayered thin films (3-11 periods) were deposited on substrates of p-type (111) crystal silicon and quartz. These films were characterized using ultra-violet-visible-near infrared (UV-Vis-NIR) spectroscopy, Fourier transform infrared (FTIR) spectroscopy, field effect scanning electron microscopy (FESEM) and AUGER electron spectroscopy (AES). The multilayered films show high reflectivity and wide stop band width at a wavelength of approximately 650 ± 60 nm. The FTIR spectrum of this multilayered structure showed the formation of Si-H and Si-H2 bonds in the nc-Si:H layer and CC and N-H bonds in a-CNx:H layer. SEM image and AES reveal distinct formation of a-CNx:H and nc-Si:H layers in the cross section image with a decrease in interlayer cross contamination with increasing number of periods.  相似文献   

3.
《Vacuum》1998,51(4):751-755
Very High Frequency (VHF) plasma enhanced chemical vapour deposition (PECVD) has been applied to hydrogenated amorphous silicon (a-Si:H) and hydrogenated amorphous silicon nitride (a-SiNx:H) films for thin film transistors (TFTs) fabrication. The effect of the excitation frequency on the deposition rate and the film quality of both films has been investigated. The films were prepared by VHF (30 MHz∼50 MHz) and HF (13.56 MHz) plasma enhanced CVD.High deposition rates were achieved in the low pressure region for both a-Si:H and a-SiNx:H depositions by the use of VHF plasma. The maximum deposition rates were 180 nm/min for a-Si:H at 50 MHz and 340 nm/min for a-SiNx:H at 40 MHz. For a-SiNx:H films deposited in VHF plasma, the optical bandgap, the hydrogen content and the [Si–H]/[N–H] ratio remain almost constant regardless of an increase in deposition rate. The increase of film stress could be limited to a lower value even at a high deposition rate. The TFTs fabricated with VHF PECVD a-Si:H and a-SiNx:H films showed applicable field effect mobility. It is concluded that VHF plasma is useful for high rate deposition of a-Si:H and a-SiNx:H films for TFT LCD application.  相似文献   

4.
Two series of amorphous silicon nitride layers (a-SiNx:H) were formed with Radio Frequency Chemical Vapor Deposition method (13.56 MHz) from a NH3/SiH4 gas mixture: the first one on Si (001) and the second on glass. The deposition process was repeated at various [NH3]/[SiH4] ratios, while the other parameters (pressure, plasma generator power, substrate temperature, total gas flow, and time) were kept constant. It has been confirmed in optical measurements that the refractive indexes decrease for the layers obtained at increasing [NH3]/[SiH4] ratios. Simultaneously, the position of the band assigned to Si-H stretching vibrations (at about 2100 cm− 1) shifts towards higher frequencies. The observed dependencies were applied in evaluation of nitrogen and hydrogen contents in the respective layers. It has been shown that when [NH3]/[SiH4] increases from 0 (no silane flow) to 0.2 then the a-SiNx:H layers of x = [N]/[Si] increasing between 0 and nearly 1.4 may be obtained. The obtained layers have the refractive indexes higher than 2.1 and lower than 2.7 which make them good materials for antireflective coatings on crystalline and multicrystalline silicon solar cells.  相似文献   

5.
Y. Xin  Y. Shi  Z.X. Huang  R. Zhang 《Thin solid films》2008,516(6):1130-1136
In this paper, hydrogenated amorphous silicon nitride (a-SiNx:H) films have been deposited using an electron cyclotron resonance chemical vapor deposition system. The effect of NH3 flow rate R on the deposition rate, structure and luminescence were studied using various techniques such as optical emission spectroscopy, Fourier Transform Infrared absorption (FTIR), X-ray photoelectron spectroscopy (XPS) and fluoro-spectroscopy, respectively. Optical emission behavior of SiH4 + NH3 plasma shows that atomic Si radical concentration determines the film deposition rate. Structural transition of a-SiNx film from Si-rich one to near-stoichiometric/N-rich one with R was revealed by FTIR and the two phase separation of a-Si and a-Si3N4 was also convinced in Si-rich SiNx films by XPS. Either photo- or electroluminescence for all the SiNx films with R > 3 sccm shows a strong light emission in visible light wavelength range. As R < 6 sccm, recombination of electrons and holes in a-Si quantum dots is the main mechanism of photo/electroluminescence for Si-rich SiNx films, however, for photoluminescence, gap states' luminescence is also in competition; as R > 6 sccm, light emission of the SiNx film originates from defect states in its band gap.  相似文献   

6.
a-SiCx:H (amorphous SiCx:H) and a-Si:H (amorphous Si:H) thin films were deposited under control of the reaction gases SiH4 + CH4 and SiH4 + H2 by plasma enhanced chemical vapor deposition, respectively. The microstructure of as-deposited a-SiCx:H and high temperature annealed α-SiCx:H (6H-SiCx:H), nc-Si:H (nano-crystal Si:H) thin films were investigated by Fourier transform infrared spectroscopy, X-ray diffraction spectroscopy and ultraviolet-visible spectroscopy. The multi-layer structure of the samples was examined by cross-section transmission electron microscope. The photoluminescence (PL) properties of the samples measured by time-resolved spectroscopy at room temperature showed that the maximum PL intensity and the minimum PL decay time were obtained for the sample annealed at 900 °C. Typical decay times of the samples were determined to be 100 ps and 10 ns by fitting with the use of a bi-exponential function. The latter decay time of our samples closes to the decay time of direct band gap semiconductor, but nearly one thousand times larger than that of porous Si, which was ascribed to the quantum confinement effect.  相似文献   

7.
Hydrogenated thin silicon nitride (SiNx:H) films were deposited by high frequency plasma enhanced chemical vapor deposition techniques at various NH3 and SiH4 gas flow ratios [R = NH3/(SiH4 + NH3)], where the flow rate of NH3 was varied by keeping the constant flow (150 sccm) of SiH4. The deposition rate of the films was found to be 7.1, 7.3, 9 and 11 Å/s for the variation of R as 0.5, 0.67, 0.75 and 0.83, respectively. The films were optically and compositionally characterized by reflectance, photoluminescence, infrared absorption and X-ray photoelectron spectroscopy. The films were amorphous in nature and the refractive indices of the films were varied between 2.46 and 1.90 by changing the gas flow ratio during the deposition. The PL peak energy was increased and the linear band tails become broad with the increase in R. The incorporation of nitrogen takes place with the increase in R.  相似文献   

8.
Nanocrystalline silicon thin films (nc-Si:H) were deposited using He as the dilution gas instead of H2 and the effect of the operating pressure and rf power on their characteristics was investigated. Especially, operating pressures higher than 4 Torr and a low SiH4 containing gas mixture, that is, SiH4(3 sccm)/He(500 sccm) were used to induce high pressure depletion (HPD) conditions. Increasing the operating pressure decreased the deposition rate, however at pressures higher than 6 Torr, crystallized silicon thin films could be obtained at an rf power of 100 W. The deposition of highly crystallized nc-Si:H thin film was related to the HPD conditions, where the damage is decreased through the decrease in the bombardment energy at the high pressure and the crystallization of the deposited silicon thin film is increased through the increased hydrogen content in the plasma caused by the depletion of SiH4. When the rf power was set at a fixed operating pressure of 6 Torr, HPD conditions were obtained in the rf power range from 80 to 100 W, which was high enough to dissociate SiH4 fully, but meantime low enough not to damage the surface by ion bombardment. At 6 Torr of operating pressure and 100 W of rf power, the nc-Si:H having the crystallization volume fraction of 67% could be obtained with the deposition rate of 0.28 nm/s.  相似文献   

9.
Lead-free thick film negative temperature coefficient (NTC) thermistors based on perovskite-type BaCoIIxCoIII2xBi1 − 3xO3 (x ≤ 0.1) were prepared by mature screen-printing technology. The microstructures of the thick films sintered at 720 °C were examined by X-ray diffraction and scanning electron microscopy. The electrical properties were analyzed by measuring the resistance-temperature characteristics. For the BaBiO3 thick films, the room-temperature resistivity is 0.22 MΩ cm, while the room-temperature resistivity is sharply decreased to about 3 Ω cm by replacing of Bi with a small amount of Co. For compositions 0.02 ≤ x ≤ 0.1, the values of room-temperature resistivity (ρ23), thermistor constant (B25/85) and activation energy are in the range of 1.995-2.975 Ω cm, 1140-1234 K and 0.102-0.111 eV, respectively.  相似文献   

10.
Hydrogenated silicon nitride films were deposited with NH3, SiH4 and N2 gas mixture at 700 °C by rapid thermal chemical vapor deposition (RTCVD) system. The NH3/N2 flow ratio and deposition pressure are found to influence the film properties. The stress of SiNx:H films deposited by RTCVD is tensile, which can reach ~ 1.5 GPa in our study. The stress of SiNx:H films is dependent on the deposition parameters, which can be associated with chemical configuration of the film. It is suggested that the presence of hydrogen atoms will relax the Si-N network, which results in the decrease of tensile stress of the SiNx:H film.  相似文献   

11.
In consequence of previous investigation of individual transparent conductive oxide (TCO) and absorber layers a study was carried out on hydrogenated amorphous silicon (a-Si:H) solar cells with diluted intrinsic a-Si:H absorber layers deposited on glass substrates covered with different TCO films. The TCO film forms the front contact of the super-strata solar cell and has to exhibit good electrical (high conductivity) and optical (high transmittance) properties. In this paper we focused our attention on the influence of using different TCO’s as a front contact in solar cells with structure as follows: Corning glass substrate/TCO (800, 950 nm)/p-type μc-Si:H (∼5 nm)/p-type a-Si:H (10 nm)/a-SiC:H buffer layer (∼5 nm)/intrinsic a-Si:H absorber layer with dilution R = [H2]/[SiH4] = 20 (300 nm)/n-type a-Si:H layer (20 nm)/Ag + Al back contact (100 + 200 nm). Diode sputtered ZnO:Ga, textured and non-textured ZnO:Al [3] and commercially fabricated ASAHI (SnO2:F) U-type TCO’s have been used. The morphology and structure of ZnO films were altered by reactive ion etching (RIE) and post-deposition annealing.It can be concluded that the single junction a-Si:H solar cells with ZnO:Al films achieved comparable parameters as those prepared with commercially fabricated ASAHI U-type TCO’s.  相似文献   

12.
Jinsu Yoo 《Thin solid films》2007,515(19):7611-7614
Hydrogenated films of silicon nitride (SiNx:H) is commonly used as an antireflection coating as well as passivation layer in crystalline silicon solar cell. SiNx:H films deposited at different conditions in Plasma Enhanced Chemical Vapor Deposition (PECVD) reactor were investigated by varying annealing condition in infrared (IR) heated belt furnace to find the optimized condition for the application in silicon solar cells. By varying the gases ratio (R = NH3/SiH4 + NH3) during deposition, the SiNx:H films of refractive indices 1.85-2.45 were obtained. Despite the poor deposition rate, the silicon wafer with SiNx:H film deposited at 450 °C showed the best effective minority carrier lifetime. The film deposited with the gases ratio of 0.57 shows the best peak of carrier lifetime at the annealing temperature of 800 °C. The single crystalline silicon solar cells fabricated in conventional industrial production line applying the optimized film deposition and annealing conditions on large area substrates (125 mm × 125 mm) were found to have the conversion efficiencies as high as 17.05 %. Low cost and high efficiency single crystalline silicon solar cells fabrication sequence employed in this study has also been reported in this paper.  相似文献   

13.
We have investigated the plasma hydrogenation effect on a nanocrystalline silicon (nc-Si) thin film transistor (TFT) fabricated by inductively coupled plasma chemical vapor deposition (ICP-CVD) at 150 °C. The top-gate nc-Si TFT showed a mobility of ∼ 6 cm2/Vs and Vth of 8 V. The hydrogenation employing ICP-CVD was performed at 100 °C for 4 min in order to improve the characteristics of nc-Si TFT. The mobility was increased from ∼ 6 cm2/Vs to 11 cm2/Vs. The Vth of the nc-Si TFTs was decreased to about 6.8 V from 8.1 V. The on-current at the saturation regime also increased by 66% while the off current was increased slightly. The improvement of mobility, threshold voltage and on-current can be attributed to the hydrogen passivation of the Si dangling bonds in the nc-Si film. The experimental results showed that the 100 °C ICP-CVD hydrogenation is effective to improve the 150 °C nc-Si TFT.  相似文献   

14.
The capacitance-voltage (C-V) measurements within 106-10− 2 Hz frequency range were performed on the hydrogenated nanocrystalline silicon (nc-Si:H) bottom-gate thin film transistor (TFT) and metal-insulator-amorphous silicon (MIAS) structure, mechanically isolated from the same TFT. It was found that the conducting thin layer in nc-Si:H film expands the effective capacitor area beyond the electrode in the TFT structure, which complicates its C-V curves. Considering the TFT capacitance-frequency (C-F) curves, the equivalent circuit of the TFT structure was proposed and mechanism for this area expansion was discussed. On the other hand, the MIAS C-F curves were fitted by the equivalent circuit models to deduce its electrical properties. nc-Si:H neutral bulk effect was revealed by the dependence of the MIAS capacitance on frequency within 106-103 Hz at both accumulation and depletion regimes. The inversion in MIAS was detected at 102-10− 2 Hz for relatively low negative gate bias without any external activation source. The presence of the ac hopping conductivity in the nc-Si:H film was inferred from the fitting. In addition, the density of the interface traps and its energy distribution were determined.  相似文献   

15.
Cui Min  Zhang Weijia  Li Guohua 《Vacuum》2006,81(1):126-128
Intrinsic nanocrystalline silicon films (nc-Si:H) were prepared by plasma enhanced chemical vapor deposition (PECVD) method. Films’ microstructures and characteristics were studied with Raman spectroscopy and Atom Force Microscope (AFM). The electronic conductivity of nc-Si:H films was found to be 4.9×100Ω−1 cm−1, which was one order of magnitude higher thanthe reported 10−3-10−1 Ω−1 cm−1. And PIN solar cells with nc-Si:H film as intrinsic thin-layer (ITO/n+-nc-Si:H/i-nc-Si:H/p-c-Si/Ag) were researched. The cell's performances were measured, the open-circuit voltage Voc was 534.7 mV, short-circuit current Isc was 49.24 mA (3 cm2) and fill factor FF was 0.4228.  相似文献   

16.
We deposited silicon nitride films by alternating exposures to Si2Cl6 and NH3 in a cold-wall reactor, and the growth rate and characteristics were studied with varying process temperature and reactant exposures. The physical and electrical properties of the films were also investigated in comparison with other silicon nitride films. The deposition reaction was self-limiting at process temperature of 515 and 557 °C, and the growth rates were 0.24 and 0.28 nm/cycle with Si2Cl6 exposure over 2 × 108 L. These growth rates with Si2Cl6 are higher than that with SiH2Cl2, and are obtained with reactant exposures lower than those of the SiH2Cl2 case. At process temperature of 573 °C where the wafer temperature during Si2Cl6 pulse is 513 °C, the growth rate increased with Si2Cl6 exposure owing to thermal deposition of Si2Cl6. The deposited films are nonstoichiometric SiN, and were easily oxidized by air exposure to contain 7-8 at.% of oxygen in the bulk film. The deposition by using Si2Cl6 exhibited a higher deposition rate with lower reactant exposures as compared with the deposition by using SiH2Cl2, and exhibited good physical and electrical properties that were equivalent or superior to those of the film deposited by using SiH2Cl2.  相似文献   

17.
Conductive cadmium stannate (Cd2SnO4,) films were grown by a simple spray-pyrolysis technique using aerosols ultrasonically generated from solutions containing Cd(thd)2(TMEDA) and nBu2Sn(AcAc)2, and monoglyme as solvent (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate, TMEDA = N,N,N′,N′-tetramethylethylenediamine, AcAc = acethylacetonate). The overall film growing procedure was carried out at or below 400 °C thus allowing low-melting temperature materials like glass to be used as film substrates. Typical resistivity values of Cd2SnO4 films were found to be ∼ 2 · 10 −3 Ωcm. The films exhibit excellent electrochemical activity with comparable or higher electron transfer rates than cadmium stannate films obtained via sol-gel methods at high annealing temperature.  相似文献   

18.
Hydrogenated silicon nitride (a-SiNx:H) films were deposited at temperatures ranging from 50 to 300 °C with remote plasma enhanced chemical vapor deposition (RPECVD) from NH}_{3 and SiH}_{4. The effect of the operating variables, such as deposition temperature and especially the partial pressure ratio of reactant (R=NH3/SiH4) on the properties of the Sa-SiNx:H interface was investigated. The H* radical was dominantly observed and the deposition rate was proportional to the NH* radical concentration. The density of highly energetic N 2 * radicals increased in the high plasma power regime in which the film surface was roughened, but they promote surface reactions even at low temperature. The refractive index was more closely related to the film stoichiometry than film density. The interface trap density is related to the amount of silicon intermediate species and Si–NH bonds at the Si/SiNx:H interface and it can be minimized by reducing the intermediate Si species and Si–NH bonding state. The films showed a midgap interface trap density of 2 × 1011 - 2 × 1012cm-2. © 2001 Kluwer Academic Publishers  相似文献   

19.
The role of amorphous silicon nanoparticles (a-Si NPs) in electroluminescent characteristics of oxidized amorphous silicon nitride (a-SiNx:O) light-emitting devices (LEDs) has been studied. A-Si NPs with a high density of 1 × 1012 cm− 2 are formed in the a-SiNx:O films after rapid thermal annealing at 900 °C for 40 s. A notably enhanced electroluminescence (EL) is obtained from the a-Si-in-SiNx:O devices and the EL peak position can be tuned from red to green-yellow by controlling the forward voltage. Compared to EL of the a-SiNx:O device, the turn-on voltage can be reduced to 3 V and the EL power conversion efficiency can be almost six times higher. The improved performance of the LEDs is ascribed to the effective carrier injection due to introduction of high density a-Si NPs.  相似文献   

20.
The preparations of the 20-period of a Si quantum dot (QD)/SiNx multilayer in a hot-wire chemical vapor deposition (HWCVD) chamber is presented in this paper. The changes in the properties of Si-QDs after the post deposition annealing treatment are studied in detail. Alternate a-Si:H and SiNx layers are grown in a single SiNx deposition chamber by cracking SiH4, and SiH4 + NH3, respectively at 250 °C. The as-deposited samples are annealed in the temperature range of 800 °C to 950 °C to grow Si-QDs. All the samples are characterized by confocal micro Raman, transmission electron microscope (TEM), and photoluminescence (PL) to study the changes in the film structures after the annealing treatment. The micro Raman analysis of the samples shows the frequency line shifting from 482 cm− 1 to 500 cm− 1 indicating the Si transition from an amorphous to a crystalline phase. The TEM micrograph inspection indicates the formation of Si-QDs of size 3 to 5 nm and a density of 5 × 1012/cm2. The high resolution TEM micrographs show an agglomeration of Si-QDs with an increase in the annealing temperature. The PL spectra show a peak shifting from 459 nm to 532 nm with increasing the annealing temperature of the film.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号