首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The n-type doped silicon thin films were deposited by plasma enhanced chemical vapor deposition (PECVD) technique at high and low H2 dilutions. High H2 dilution resulted in n+ nanocrystalline silicon films (n+ nc-Si:H) with the lower resistivity (ρ ∼0.7 Ω cm) compared to that of doped amorphous silicon films (∼900 Ω cm) grown at low H2 dilution. The change of the lateral ρ of n+ nc-Si:H films was measured by reducing the film thickness via gradual reactive ion etching. The ρ values rise below a critical film thickness, indicating the presence of the disordered and less conductive incubation layer. The 45 nm thick n+ nc-Si:H films were deposited in the nc-Si:H thin film transistor (TFT) at different RF powers, and the optimum RF power for the lowest resistivity (∼92 Ω cm) and incubation layer was determined. On the other hand, several deposition parameters of PECVD grown amorphous silicon nitride (a-SiNx:H) thin films were changed to optimize low leakage current through the TFT gate dielectric. Increase in NH3/SiH4 gas flow ratio was found to improve the insulating property and to change the optical/structural characteristics of a-SiNx:H film. Having lowest leakage currents, two a-SiNx:H films with NH3/SiH4 ratios of ∼19 and ∼28 were used as a gate dielectric in nc-Si:H TFTs. The TFT deposited with the NH3/SiH4∼19 ratio showed higher device performance than the TFT containing a-SiNx:H with the NH3/SiH4∼28 ratio. This was correlated with the N−H/Si−H bond concentration ratio optimized for the TFT application.  相似文献   

2.
Stacked silicon nitride films for use in manufacturing of surface micromachined membranes were deposited using custom made plasma-enhanced chemical vapor deposition instrument with silane (SiH4) and ammonia (NH3) gas mixture as deposition precursor. Deposition conditions were adjusted by varying substrate temperature and SiH4 to NH3 flow ratio and temperature to obtain the required stress related and electrical properties of the membranes. Transmission Fourier transformed infrared spectroscopy and scanning electron microscopy were used to investigate the chemical composition and morphology of the stacked film components. An increase in the SiH4 to NH3 flow ratio and a decrease in temperature resulted in a silicon-rich silicon nitride film, as well as an increased silicon oxide concentration. To avoid underetch and sidewall defects, the plasma power density during the plasma etching was changed from 0.5 W/cm2 during the etching of both top and bottom layers in a stacked film, to 1.0 W/cm2 during the etching of the middle both silicon and silicon oxide rich film. This resulted in an improved overall stacked film sidewall quality and reduced the unwanted underetch.  相似文献   

3.
Silicon carbide (SiC) thin films were prepared by hot-wire chemical vapor deposition in a CH4 gas flow rate of 1 sccm, and the influence of the gas flow rates of SiH4 and H2 gases on the film structure and properties were investigated. In the case of a H2 gas flow rate below 100 sccm, the SiC:H films obtained in SiH4 gas flow rates of 3 and 4 sccm were amorphous. On the other hand, when the H2 gas flow rate was above 150 sccm, SiH4 gas flow rates of 4 and 3 sccm resulted in a Si-crystallite-embedded amorphous SiC:H film and a nanocrystalline cubic SiC film, respectively. It was found that gas flow rates were important parameters for controlling film structure.  相似文献   

4.
CVD-TiSiN may be promising material for O2 diffusion-barrier films in ultra-large scale integrated (ULSI) circuit applications, especially for dynamic random-access memory (DRAM) capacitors. We developed a method for introducing Si into TiN, which is a common material used for diffusion-barrier films. TiSiN films were deposited by reacting TiCl4, SiH4, and NH3 in a hot-wall CVD reactor. We measured TiSiN film deposition rates, composition, crystal structure, and resistivity as a function of SiH4 partial pressure. Adding Si to TiN converts the TiN film structure from columnar grains to columnar-free structure films, thereby effectively removing the diffusion paths for O2. The resistivity of TiSiN films was increased by adding SiH4 to the reactant gas. With an increase in SiH4 partial pressure up to PSiH4=0.8 Torr, the resistivity gradually increased, but for PSiH4=1.2 Torr, the phase present in the film was almost SiN and its resistivity jumped up. TiSiN film rapid thermal annealing was performed to evaluate the anti-oxidation performance at the temperature range from 400 to 600 °C in 100 Torr of O2. For an increase the Si concentration up to 4.4 at.% improved anti-oxidation performance of TiSiN films. Flow modulation chemical vapor deposition (FMCVD) was used to create TiSiN films with low Cl concentration and improved anti-oxidation performance.  相似文献   

5.
Two series of amorphous silicon nitride layers (a-SiNx:H) were formed with Radio Frequency Chemical Vapor Deposition method (13.56 MHz) from a NH3/SiH4 gas mixture: the first one on Si (001) and the second on glass. The deposition process was repeated at various [NH3]/[SiH4] ratios, while the other parameters (pressure, plasma generator power, substrate temperature, total gas flow, and time) were kept constant. It has been confirmed in optical measurements that the refractive indexes decrease for the layers obtained at increasing [NH3]/[SiH4] ratios. Simultaneously, the position of the band assigned to Si-H stretching vibrations (at about 2100 cm− 1) shifts towards higher frequencies. The observed dependencies were applied in evaluation of nitrogen and hydrogen contents in the respective layers. It has been shown that when [NH3]/[SiH4] increases from 0 (no silane flow) to 0.2 then the a-SiNx:H layers of x = [N]/[Si] increasing between 0 and nearly 1.4 may be obtained. The obtained layers have the refractive indexes higher than 2.1 and lower than 2.7 which make them good materials for antireflective coatings on crystalline and multicrystalline silicon solar cells.  相似文献   

6.
We deposited silicon nitride films by alternating exposures to Si2Cl6 and NH3 in a cold-wall reactor, and the growth rate and characteristics were studied with varying process temperature and reactant exposures. The physical and electrical properties of the films were also investigated in comparison with other silicon nitride films. The deposition reaction was self-limiting at process temperature of 515 and 557 °C, and the growth rates were 0.24 and 0.28 nm/cycle with Si2Cl6 exposure over 2 × 108 L. These growth rates with Si2Cl6 are higher than that with SiH2Cl2, and are obtained with reactant exposures lower than those of the SiH2Cl2 case. At process temperature of 573 °C where the wafer temperature during Si2Cl6 pulse is 513 °C, the growth rate increased with Si2Cl6 exposure owing to thermal deposition of Si2Cl6. The deposited films are nonstoichiometric SiN, and were easily oxidized by air exposure to contain 7-8 at.% of oxygen in the bulk film. The deposition by using Si2Cl6 exhibited a higher deposition rate with lower reactant exposures as compared with the deposition by using SiH2Cl2, and exhibited good physical and electrical properties that were equivalent or superior to those of the film deposited by using SiH2Cl2.  相似文献   

7.
CVD-TiSiN may be promising material for O2 diffusion-barrier films in ultra-large scale integrated (ULSI) circuit applications, especially for dynamic random-access memory (DRAM) capacitors. We developed a method for introducing Si into TiN, which is a common material used for diffusion-barrier films. TiSiN films were deposited by reacting TiCl4, SiH4, and NH3 in a hot-wall CVD reactor. We measured TiSiN film deposition rates, composition, crystal structure, and resistivity as a function of SiH4 partial pressure. Adding Si to TiN converts the TiN film structure from columnar grains to columnar-free structure films, thereby effectively removing the diffusion paths for O2. The resistivity of TiSiN films was increased by adding SiH4 to the reactant gas. With an increase in SiH4 partial pressure up to PsiH4 = 0.8 Torr, the resistivity gradually increased, but for PsiH4 = 1.2 Torr, the phase present in the film was almost SiN and its resistivity jumped up. TiSiN film rapid thermal annealing was performed to evaluate the anti-oxidation performance at the temperature range from 400 to 600 °C in 100 Torr of O2. For an increase the Si concentration up to 4.4 at.% improved anti-oxidation performance of TiSiN films. Flow modulation chemical vapor deposition (FMCVD) was used to create TiSiN films with low Cl concentration and improved anti-oxidation performance.  相似文献   

8.
In this paper a new method of depositing silicon nitrided oxide by an in-situ process is introduced. Thin nitrided-oxide (NO) films were deposited on silicon by rapid thermal oxidation and rapid thermal chemical vapour deposition (RTCVD). Thin oxide films, 10.0 nm, were rapidly thermally grown in a dry oxygen ambient at 1000 °C and the silicon-nitride films were deposited on the oxide at 700–900 °C using an NH3 and SiH4 gaseous mixture. The effect of the NH3/SiH4 input ratio and the deposition temperature on the deposition rate and the electrical properties was studied. The experimental results show that the deposition rate increased with increasing deposition temperature and decreasing NH3/SiH4 input ratio. From the data for the Fourier-transform infrared spectroscopy (FTIR) and the C-V curves of the NO films, it was observed that the flat-band voltage is directly related to the N-H-bond peak intensity. The flat-band voltage shifts to the positive with increasing deposition temperature and to the negative with increasing NH3/SiH4 input ratio, and the breakdown field of NO films is higher than that of the RTP oxidation (RTO) films.  相似文献   

9.
N-type nanocrystalline 3C-SiC films were prepared by hot-wire chemical vapor deposition from SiH4/CH4/H2 and N2 as a doping gas and the structural and electrical properties were investigated. The gas flow rates of SiH4, CH4 and H2 were 1, 1 and 200 sccm, respectively. As the N2 gas flow rate was increased from 0 to 10 sccm, the conductivity and the activation energy improved from 0.05 to 0.3 S/cm and from 45 to 28 meV, respectively. The Hall Effect measurement proved that the improvement of the electrical properties was caused by the increase in the carrier concentration. On the other hand, in the N2 gas flow rate between 10 and 50 sccm, the conductivity and the activation energy remained unchanged. The crystallinity deteriorated with increasing N2 gas flow rate. This gave rise to the unchanged electronic properties in spite of the increase in the intake of N atoms.  相似文献   

10.
With application to underlayer of strained Si film in mind, polycrystalline SiGe films were deposited by plasma chemical vapor deposition (PCVD) using a high-density surface wave-excited plasma in SiH4/GeH4/H2 gas. The atomic ratio of Si/Ge in the film was controlled by adjusting the gas flow rate ratio of SiH4/GeH4. The lattice spacing of the film was also controlled by the gas flow rate ratio. Polycrystalline SiGe film with large grain size of ∼ 200 nm and high crystallinity was successfully deposited by surface wave-excited plasma.  相似文献   

11.
Hydrogenated silicon nitride films were deposited with NH3, SiH4 and N2 gas mixture at 700 °C by rapid thermal chemical vapor deposition (RTCVD) system. The NH3/N2 flow ratio and deposition pressure are found to influence the film properties. The stress of SiNx:H films deposited by RTCVD is tensile, which can reach ~ 1.5 GPa in our study. The stress of SiNx:H films is dependent on the deposition parameters, which can be associated with chemical configuration of the film. It is suggested that the presence of hydrogen atoms will relax the Si-N network, which results in the decrease of tensile stress of the SiNx:H film.  相似文献   

12.
Silicon nitride thin films for use as passivation layers in solar cells and organic electronics or as gate dielectrics in thin-film transistors were deposited by the Hot-wire chemical vapor deposition technique at a high deposition rate (1-3 ?/s) and at low substrate temperature. Films were deposited using NH3/SiH4 flow rate ratios between 1 and 70 and substrate temperatures of 100 °C and 250 °C. For NH3/SiH4 ratios between 40 and 70, highly transparent (T ~ 90%), dense films (2.56-2.74 g/cm3) with good dielectric properties and refractive index between 1.93 and 2.08 were deposited on glass substrates. Etch rates in BHF of 2.7 ?/s and < 0.5 ?/s were obtained for films deposited at 100 °C and 250 °C, respectively. Films deposited at both substrate temperatures showed electrical conductivity ~ 10− 14 Ω− 1 cm− 1 and breakdown fields > 10 MV cm− 1.  相似文献   

13.
《Thin solid films》1987,148(3):285-291
Optical, mechanical and chemical properties of plasma-enhanced chemically vapor-deposited silicon oxynitride films were investigated for the SiH4 (10% in helium), NH3 and N2O system at 13.56 MHz. The film composition was varied by changing the ratio of N2O to NH3 in the gas phase. The deposition rate, refractive index and stress were observed to be linear functions of the mole fraction of N2O in the feed gases. The ratio of oxygen to nitrogen in the film, determined by Rutherford backscattering spectrometry, was found to be a linear function of the ratio of N2O to NH3. The relative hydrogen content of the films was found to decrease as the N2O concentration increased. Film structure and composition were also varied by changing the total pressure.  相似文献   

14.
Nanocrystalline silicon thin films (nc-Si:H) were deposited using He as the dilution gas instead of H2 and the effect of the operating pressure and rf power on their characteristics was investigated. Especially, operating pressures higher than 4 Torr and a low SiH4 containing gas mixture, that is, SiH4(3 sccm)/He(500 sccm) were used to induce high pressure depletion (HPD) conditions. Increasing the operating pressure decreased the deposition rate, however at pressures higher than 6 Torr, crystallized silicon thin films could be obtained at an rf power of 100 W. The deposition of highly crystallized nc-Si:H thin film was related to the HPD conditions, where the damage is decreased through the decrease in the bombardment energy at the high pressure and the crystallization of the deposited silicon thin film is increased through the increased hydrogen content in the plasma caused by the depletion of SiH4. When the rf power was set at a fixed operating pressure of 6 Torr, HPD conditions were obtained in the rf power range from 80 to 100 W, which was high enough to dissociate SiH4 fully, but meantime low enough not to damage the surface by ion bombardment. At 6 Torr of operating pressure and 100 W of rf power, the nc-Si:H having the crystallization volume fraction of 67% could be obtained with the deposition rate of 0.28 nm/s.  相似文献   

15.
Computational fluid dynamics (CFD) was employed to investigate the effects of electrode architecture, the arrangement of multi-hollows on the surface of the porous electrode, electrode distance and gas flow rate on the distribution of SiH3 molar fraction. A two-dimensional laminar flow coupled with heat transfer, multi-species chemistry are simultaneously calculated through CFD for improving the spatial distribution of SiH3 in the axis-symmetrical reactor. The spatial distribution of SiH3 can be optimized by means of porous electrode with the gas inlet at the bottom of the chamber, and SiH3 mole fraction varies with the arrangement of holes on porous electrode. The best spatial distribution of SiH3 is obtained at inter electrode distance of 10 mm and more SiH3 can be got at the gas flow rate of 0.5 m/s compared to 0.1, 0.3, 0.8, 1 m/s.  相似文献   

16.
《Vacuum》2012,86(1):82-86
Nanocrystalline silicon thin films (nc-Si:H) were deposited using He as the dilution gas instead of H2 and the effect of the operating pressure and rf power on their characteristics was investigated. Especially, operating pressures higher than 4 Torr and a low SiH4 containing gas mixture, that is, SiH4(3 sccm)/He(500 sccm) were used to induce high pressure depletion (HPD) conditions. Increasing the operating pressure decreased the deposition rate, however at pressures higher than 6 Torr, crystallized silicon thin films could be obtained at an rf power of 100 W. The deposition of highly crystallized nc-Si:H thin film was related to the HPD conditions, where the damage is decreased through the decrease in the bombardment energy at the high pressure and the crystallization of the deposited silicon thin film is increased through the increased hydrogen content in the plasma caused by the depletion of SiH4. When the rf power was set at a fixed operating pressure of 6 Torr, HPD conditions were obtained in the rf power range from 80 to 100 W, which was high enough to dissociate SiH4 fully, but meantime low enough not to damage the surface by ion bombardment. At 6 Torr of operating pressure and 100 W of rf power, the nc-Si:H having the crystallization volume fraction of 67% could be obtained with the deposition rate of 0.28 nm/s.  相似文献   

17.
The hetero-structured SiCX films have been deposited by hot-wire CVD using SiH3CH3 as the carbon source gas. Although the carbon source gas ratio and filament temperature in the deposition using SiH3CH3 were smaller than those using C2H6, the carbon content in the sample deposited using SiH3CH3 was similar to that deposited using C2H6. The optical energy gap in the sample deposited using SiH3CH3 was larger than that deposited using C2H6. The sample deposited using SiH3CH3 under optimized condition showed a wide optical energy gap of 1.99 eV and a large dark conductivity of 15.1 S/cm. The p-type sample deposited using SiH3CH3 under the optimized condition has been used as a window layer material in p-i-n a-Si:H based solar cells.  相似文献   

18.
Silicon carbide (SiC) thin films were deposited by low-pressure hot wire chemical vapor deposition (HWCVD) technique using SiH4 and CH4 gas precursors with no hydrogen dilution. Spectroscopic and structural properties of the films deposited at various methane flow rate (10-100 sccm) and low silane flow rate of 0.5 sccm were investigated. The use of low methane flow rate resulted in a sharp and intense Si-C peak in the Fourier transform infrared (FTIR) absorption spectra. The XRD spectra of the films showed the formation of SiC crystallites at low methane flow rate. The Raman spectroscopy measurements showed the coexistence of a-Si and SiC phases in the films. Increase in methane flow rate increased the carbon incorporation and deposition rate of the SiC films but also promoted the formation of amorphous Si and SiC phases in the films.  相似文献   

19.
Silicon oxide (SiOx) thin films have been deposited at a substrate temperature of 300 °C by inductively-coupled plasma chemical vapor deposition (ICP-CVD) using N2O/SiH4 plasma. The effect of N2O/SiH4 flow ratios on SiOx film properties and silicon surface passivation were investigated. Initially, the deposition rate increased up to the N2O/SiH4 flow ratio of 2/1, and then decreased with the further increase in N2O/SiH4 flow ratio. Silicon oxide films with refractive indices of 1.47-2.64 and high optical band-gap values (>3.3 eV) were obtained by varying the nitrous oxide to silane gas ratios. The measured density of the interface states for films was found to have minimum value of 4.3 × 1011 eV−1 cm−2. The simultaneous highest τeff and lowest density of interface states indicated that the formation of hydrogen bonds at the SiOx/c-Si interface played an important role in surface passivation of p-type silicon.  相似文献   

20.
We investigated an influence of gas pressure on low-temperature preparation of nanocrystalline cubic silicon carbide (nc-3C-SiC) films by hot-wire chemical vapour deposition (HW-CVD) using SiH4/CH4/H2 system. X-ray diffraction (XRD) and Fourier transform infrared (FT-IR) spectra revealed that the films prepared below 1.5 Torr were Si-nanocrystallite-embedded hydrogenated amorphous SiC. On the other hand, nc-3C-SiC films were successfully prepared at gas pressure above 2 Torr. The high gas pressure plays two important roles in low-temperature preparation of nc-3C-SiC films: (1) leading to sufficient decomposition of CH4 molecules through a gas phase reaction and an increase in the incorporation of carbon atoms into film and (2) promoting a creation of H radicals on the heated filament, allowing the sufficient coverage of growing film surface and a selective etching of amorphous network structure and/or crystalline-Si phase. It was found that total gas pressure is a key parameter for low-temperature preparation of nc-3C-SiC films.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号