首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 968 毫秒
1.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

2.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

3.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

4.
The investigation of Al2O3 etch characteristics in the BCl3/Ar inductively coupled plasma was carried out in terms of effects of input process parameters (gas pressure, input power, bias power) on etch rate and etch selectivity over poly-Si and photoresist. It was found that, with the changes in gas pressure and input power, the Al2O3 etch rate follows the behavior of ion current density while the process rate is noticeably contributed by the chemical etch pathway. The influence of input power on the etch threshold may be connected with the concurrence of chemical and physical etch pathways in ion-assisted chemical reaction.  相似文献   

5.
Jong-Chang Woo 《Thin solid films》2010,518(10):2905-2909
The etching characteristics of zinc oxide (ZnO) including the etch rate and the selectivity of ZnO in a BCl3/Ar plasma were investigated. It was found that the ZnO etch rate showed a non-monotonic behavior with an increasing BCl3 fraction in the BCl3/Ar plasma, along with the RF power, and gas pressure. At a BCl3 (80%)/Ar (20%) gas mixture, the maximum ZnO etch rate of 50.3 nm/min and the maximum etch selectivity of 0.75 for ZnO/Si were obtained. Plasma diagnostics done with a quadrupole mass spectrometer delivered the data on the ionic species composition in plasma. Due to the relatively high volatility of the by-products formed during the etching by the BCl3/Ar plasma, ion bombardment in addition to physical sputtering was required to obtain the high ZnO etch rates. The chemical state of the etched surfaces was investigated with X-ray Photoelectron Spectroscopy (XPS). Inferred from this data, it was suggested that the ZnO etch mechanism was due to ion enhanced chemical etching.  相似文献   

6.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

7.
In this study, we carried out an investigation of the etching characteristics (etch rate, selectivity) of HfO2 thin films in the CF4/Ar inductively coupled plasma (ICP). The maximum etch rate of 54.48 nm/min for HfO2 thin films was obtained at CF4/Ar (=20:80%) gas mixing ratio. At the same time, the etch rate was measured as function of the etching parameters such as ICP RF power, DC-bias voltage, and process pressure. The X-ray photoelectron spectroscopy analysis showed an efficient destruction of the oxide bonds by the ion bombardment as well as an accumulation of low volatile reaction products on the etched surface. Based on these data, the chemical reaction was proposed as the main etch mechanism for the CF4-containing plasmas.  相似文献   

8.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

9.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

10.
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta-N-CH and N-CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

11.
S.D. Park 《Thin solid films》2007,515(12):5045-5048
In this study, the effect of BCl3/C4F8 gas mixture on the ZrOx etch rates and the etch selectivities of ZrOx/Si were investigated and its etch mechanism was studied. The increase of C4F8 in BCl3/C4F8 decreased the silicon etch rate significantly and finally deposition instead of etching occurred by mixing C4F8 more than 3%. In the case of ZrOx, the etch rate remained similar until 4% of C4F8 was mixed, however, the further increase of C4F8 percentage finally decreased the ZrOx etch rate and deposition instead of etching occurred by mixing more than 6%. Therefore, by mixing 3-4% of C4F8 to BCl3, infinite etch selectivity of ZrOx/Si could be obtained while maintaining the similar ZrOx etch rate. The differences in the etch behaviors of ZrOx and Si were related to the different thickness of C-F polymer formed on the surfaces. The thickness of the C-F polymer on the ZrOx surface was smaller due to the removal of carbon incident on the surface by forming COx with oxygen in ZrOx. Using 12 mTorr BCl3/C4F8 (4%), 700 W of rf power, and − 80 V of dc bias voltage, the ZrOx etch rate of about 535 Å/min could be obtained with infinite etch selectivity to Si.  相似文献   

12.
In this study, we investigated the etching characteristics of indium tin oxide (ITO) thin films at CF4/Ar plasma. The maximum etch rate of 29.8 nm/min for the ITO thin films was obtained at CF4/Ar (=80/20) gas mixing ratio. The standard conditions were the RF power of 800 W, the DC-bias voltage of −150 V, the process pressure of 2 Pa, and the substrate temperature of 40 °C. Corresponding to these etching conditions, chemical reaction of the etched ITO surface has been studied by X-ray photoelectron spectroscopy measurement to investigate the chemical reactions between the surfaces of ITO thin film and etch species. The preferential losses on the etched surfaces were investigated using atomic force microscopy.  相似文献   

13.
In this study, we investigated to the etch characteristics of indium zinc oxide (IZO) thin films in a CF4/Ar plasma, namely, etch rate and selectivity toward SiO2. A maximum etch rate of 76.6 nm/min was obtained for IZO thin films at a gas mixture ratio of CF4/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, including adaptively coupled plasma chamber pressure. X-ray photoelectron spectroscopy analysis showed efficient destruction of the oxide bonds by ion bombardment, as well as accumulation of low volatile reaction products on the surface of the etched IZO thin films. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of ion-stimulated desorption of the reaction products.  相似文献   

14.
In this work, the etching properties of titanium dioxide (TiO2) thin film in additions of O2 at CF4/Ar plasma were investigated. The maximum etch rate of 179.4 nm/min and selectivity of TiO2 of 0.6 were obtained at an O2/CF4/Ar (=3:16:4 sccm) gas mixing ratio. In addition, the etch rate and selectivity were measured as a function of the etching parameters, such as the RF power, DC-bias voltage, and process pressure. The efficient destruction of the oxide bonds by ion bombardment, which was produced from the chemical reaction of the etched TiO2 thin film, was investigated by X-ray photoelectron spectroscopy. To determine the re-deposition of sputter products and reorganization of such residues on the surface, the surface roughness of TiO2 thin film were examined using atomic force microscopy.  相似文献   

15.
The etching characteristics of ITO in a BCl3/Ar plasma, including the etch rate and selectivity of ITO, were investigated. The maximum etch rate of 62.8 nm/min for the ITO thin films was obtained at a BCl3/Ar gas mixing ratio of 25%/75%. Ion bombardment by physical sputtering was required to obtain such high etch rates, due to the relatively low volatility of the by-products formed during the etching. The chemical reactions on the etched surfaces were investigated using X-ray Photoelectron Spectroscopy (XPS) and the preferential losses on the etched surfaces were investigated using Atomic Force Microscopy (AFM).  相似文献   

16.
GaN mesa etching is investigated using BCl3/Cl2 based inductively coupled plasma at constant ICP/RF powers for HEMT fabrication. The effect of chamber process pressure (5-15 mTorr) and BCl3/Cl2 flow rate ratio >1 on mesa sidewall profile is studied in detail using less complex photoresist mask. Mesa sidewall sharpness varied strongly with chamber pressure and deteriorated at lower pressure ∼5 mTorr. The etched GaN mesas resulted in severely damaged sidewalls with significant sidewall erosion at BCl3/Cl2 ratio of <1, which reduced gradually as BCl3/Cl2 ratio was increased to values >1 mainly due to decreased Cl ion/neutral scattering at the edges. Finally, the smooth and sharp mesa sidewalls with angle of ∼80° and moderate GaN etch rate of ∼1254 Å/min are obtained at BCl3/Cl2 ratio of 2.5:1 and 10 mTorr pressure due to a better balance between physical and chemical components of ICP etching.  相似文献   

17.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

18.
Dry etching of GaAs was investigated in BCl3, BCl3/N2 and BCl3/Ar discharges with a mechanical pump-based capacitively coupled plasma system. Etched GaAs samples were characterized using scanning electron microscopy and surface profilometry. Optical emission spectroscopy was used to monitor the BCl3-based plasma during etching. Pure BCl3 plasma was found to be suitable for GaAs etching at > 100 mTorr while producing a clean and smooth surface and vertical sidewall. Adding N2 or Ar to the BCl3 helped increase the etch rates of GaAs. For example, the GaAs etch rate was doubled with 20% N2 composition in the BCl3/N2 plasma compared to the pure BCl3 discharge at 150 W CCP power and 150 mTorr chamber pressure. The GaAs etch rate was ∼ 0.21 µm/min in the 20 sccm BCl3 plasma. The BCl3/Ar plasma also increased etch rates of GaAs with 20% of Ar in the discharge. However, the surface morphology of GaAs was strongly roughened with high percentage (> 30%) of N2 and Ar in the BCl3/N2 and BCl3/Ar plasma, respectively. Optical emission spectra showed that there was a broad BCl3-related molecular peak at 450-700 nm wavelength in the pure BCl3 plasma. When more than 50% N2 was added to the BCl3 plasma, an atomic N peak (367.05 nm) and molecular N2 peaks (550-800 nm) were detected. Etch selectivity of GaAs to photoresist decreased with the increase of % N2 and Ar in the BCl3-based plasma.  相似文献   

19.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

20.
Etching characteristics of high-k dielectric materials (HfO2) and metal electrode materials (Pt, TaN) have been studied in high-density chlorine-containing plasmas at pressures around 10 mTorr. The etching of HfO2 was performed in BCl3 without rf biasing, giving an etch rate of about 5 nm/min with a high selectivity of >10 over Si and SiO2. The etching of Pt and TaN was performed in Ar/O2 with high rf biasing and in Ar/Cl2 with low rf biasing, respectively, giving a Pt etch rate of about several tens nm/min and a TaN etch rate of about 200 nm/min with a high selectivity of >8 over HfO2 and SiO2. The etched profiles were outwardly tapered for Pt, owing to the redeposition of etch or sputter products on feature sidewalls, while the TaN profiles were almost anisotropic, probably owing to the ion-enhanced etching that occurred.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号