首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In this paper, silicon nanowires (SiNWs) was fabricated by a combination of metal-assisted chemical etching (MACEtch) and nanosphere lithography. We get the silicon nanowires with different specific surface area by changing the etching time. The microscopic structure of the silicon nanowires is observed by field emission scanning electron microscope (FESEM). The gas sensing performances of the SiNWs with different specific surface area have been systematically examined by measuring the resistance change towards the concentrations of NO2 in the range of 1–5 ppm at room temperature (RT, 300 K), the gas sensor composed of SiNWs showed perfect gas sensitive property and possessed a short response–recovery time. The main reason of these excellent attributes is quite likely that high specific surface area of the SiNWs, and NO2 sensing mechanism of the SiNWs was also further explained, which can be attributed to the oxygen in the air and detected NO2 extract electrons from the surface of the SiNWs, and the resistivity of SiNWs changed with the changing of space-charge layer under the of SiNWs surface.  相似文献   

2.
陈扬文  唐元洪  裴立宅   《电子器件》2005,28(3):470-474
硅纳米线是一种新型半导体光电材料,具有量子限制效应并且能与目前的硅芯片相兼容,是一种很有前途的适用于纳米器件的材料,未经处理的硅纳米线存在大量的晶体缺陷以及表面氧化物保护层,直接将这样的硅纳米线应用于纳米器件中时,表面氧化层的保护作用使硅纳米线在电子器件中应用时不能有效地实现欧姆接触,因此对硅纳米线使用前的前期处理是非常必要的。本文主要针对硅纳米线应用于电子器件的准备工序包括为制备完整硅纳米线晶体结构而进行的减少缺陷处理、避免硅纳米线团聚而进行的分散处理,以及使硅纳米线具有有效欧姆接触而进行的表面金属离子处理等作系统的阐述。  相似文献   

3.
Silicon nanowires (SiNWs) were grown on Si(1 0 0) and Si(1 1 1) substrates by chemical vapour deposition (CVD) via the vapour–liquid–solid (VLS) mechanism with small gold particles used as seeds. In order to control the diameter of nanowires, their density on the substrate and their orientation we controlled the size and the distribution of Au seed particles. This was accomplished using nanosphere lithography (NSL) by which regular arrays of Au nanoparticles can be generated. This allowed us to grow single-crystalline SiNWs perpendicular to the surface of Si(1 1 1) substrates. The SiNWs and their Au caps were studied with respect to their morphology and composition using TEM, HREM and EFTEM methods. Clusters of Au are observed along the surface of SiNWs and the existence of a thin Si film on gold particles capping the SiNWs is demonstrated.  相似文献   

4.
Vertically aligned silicon nanowires (SiNWs) have been successfully synthesized using pure silane gas as a precursor by very high frequency plasma enhanced chemical vapor deposition (VHF-PECVD) method. The effect of the growth temperature on the morphology, structure and photoluminescence properties of SiNWs has been studied. The SiNWs were needle-liked materials with the length of a few microns having the diameters of tens of nanometers near the bottom and a few nanometers at the top. Thinner nanowires have been obtained at the higher growth temperature process. High resolution transmission electron microscopy confirms that the nanowires are composed of a crystalline silicon core with an oxide shell. The PL spectrum of the Si nanoneedles have shown two emission bands around 450 nm and ~750, which originate from the defects related to oxygen fault in the oxide shell and interfaces between the crystalline Si core and the oxide shell, respectively.  相似文献   

5.
Surface effects are widely recognized to significantly influence the properties of nanostructures, although the detailed mechanisms are rarely studied and unclear. Herein we report for the first time a quantitative evaluation of the surface‐related contributions to transport properties in nanostructures by using Si nanowires (NWs) as a paradigm. Critical to this study is the capability of synthesizing SiNWs with predetermined conduction type and carrier concentration from Si wafer of known properties using the recently developed metal‐catalyzed chemical etching method. Strikingly, the conductance of p‐type SiNWs is substantively larger in air than that of the original wafer, is sensitive to humidity and volatile gases, and thinner wires show higher conductivity. Further, SiNW‐based field‐effect transistors (FETs) show NWs to have a hole concentration two orders of magnitude higher than the original wafer. In vacuum, the conductivity of SiNWs dramatically decreases, whereas hole mobility increases. The device performances are further improved by embedding SiNW FETs in 250 nm SiO2, which insulates the devices from atmosphere and passivates the surface defects of NWs. Owing to the strong surface effects, n‐type SiNWs even change to exhibit p‐type characteristics. The totality of the results provides definitive confirmation that the electrical characteristics of SiNWs are dominated by surface states. A model based on surface band bending and carrier scattering caused by surface states is proposed to interpret experimental results. The phenomenon of surface‐dependent transport properties should be generic to all nanoscale structures, and is significant for nanodevice design for sensor and electronic applications.  相似文献   

6.
The catalytic performance of bimetallic nanoparticles (NPs) strongly depends on their structural and compositional changes under reaction conditions. At the fundamental level, these changes are driven by redox reactions that occur on the surface of the NPs. The degree of complexity in the redox reactions is further amplified in bimetallic NPs because both metals can have their own reactions with the reactant molecules, in addition to any synergistic effects between the metal nanocatalysts and their reducible oxides. Here, the gas phase oxidation and reduction reactions, and the oxidation of carbon monoxide (CO) over Pt–Ni rhombic dodecahedron NPs with segregated Pt frames and Pt–Ni alloy NPs are investigated using in situ gas cell transmission electron microscopy. The real‐time observations show that NiO shell formation and Pt segregation are two important features during the oxidation and reduction of Pt–Ni NPs, respectively. Moreover, the two types of NPs evolved in different ways. By combining high‐resolution imaging, mass spectroscopy, and modeling, it is shown that the evolution of NP morphology and composition during redox reactions plays an important role in controlling the catalytic activity of the NPs.  相似文献   

7.
A novel, highly uniform and tunable hybrid plasmonic array is created via ion‐milling, catalytic wet‐etching and electron‐beam evaporation, using a holographically featured structure as a milling mask. A simple and low‐cost prism holographic lithography (HL) technique is applied to create an unprecedentedly coordinated array of elliptic gold (Au) holes, which act as the silicon (Si) etching catalyst in the reaction solution used to fabricate an elliptic silicon nanowire (SiNW) array; here, the SiNWs are arrayed hierarchically in such a way that three SiNWs are triangularly coordinated, and the triangles are arranged hexagonally. After removing the polymeric mask and metal thin film, the highly anisotropic thick Au film is deposited on the SiNW arrays. This hybrid substrate shows tunable optical properties in the near‐infrared (NIR) region from 875 nm to 1030 nm and surface‐enhanced Raman scattering (SERS) activities; these characteristics depend on the catalytic wet etching time, which changes the size of the vertical gap between the Au thick films deposited separately on the SiNWs. In addition, lateral interparticle coupling induces highly intensified SERS signals with good homogeneity. Finally, the Au‐capped elliptical SiNW arrays can be hierarchically patterned by combining prism HL and conventional photolithography, and the highly enhanced fluorescence intensity associated with both the structural effects and the plasmon resonances is investigated.  相似文献   

8.
尤思宇  王燕 《半导体学报》2006,27(11):1927-1933
采用第一性原理的方法计算了不同尺寸的(100)硅纳米线在H饱和及F饱和下的电子结构.计算结果表明,F饱和与H饱和的(100)硅纳米线均为直接禁带半导体,但F饱和硅纳米线的禁带宽度和价带有效质量都远小于H饱和硅纳米线,这一现象可用价带顶的σ-n杂化效应来解释.计算结果还表明,H或F饱和的(100)硅纳米线的极限--硅单原子链则表现为间接带隙半导体,文中对这一现象进行了分析和讨论.  相似文献   

9.
Despite the optical advantage of near‐zero reflection, the silicon nanowire arrays (SiNWs)‐based solar cells cannot yet achieve satisfactory high efficiency because of the serious surface recombination arising from the greatly enlarged surface area. The trade‐off between reflection and recombination fundamentally prevents the conventional SiNWs structure from having both minimal optical and electrical losses. Here, we report the simultaneous realization of the best optical anti‐reflection (the solar averaged reflectance of 1.38%) and electrical passivation (the surface recombination velocity of 44.72 cm/s) by effectively combining the Si nano/microstructures (N/M‐Strus) with atomic‐layer‐deposition (ALD)‐Al2O3 passivation. The composite structures are prepared on the pyramid‐textured Si wafers with large‐scale 125 × 125 mm2 by the two‐step metal‐assisted chemical etching method and the thermal ALD‐Al2O3 treatment. Although the excellent optical anti‐reflection is observed because of the complementary contribution of Si N/M‐Strus at short wavelength and ALD‐Al2O3 at long wavelength, the low recombination has also been realized because the field effect passivation is enhanced for the longer and thinner SiNWs through the more effective suppression of the minority carrier movement and the reduction of the pure‐pyramid‐textured surface recombination. We have further numerically modeled the Al2O3‐passivated Si N/M‐Strus‐based solar cell and obtain the high conversion efficiency of 21.04%. The present work opens a new way to realize high‐efficiency SiNWs‐based solar cells. Copyright © 2014 John Wiley & Sons, Ltd.  相似文献   

10.
A novel strategy for preparing large‐area, oriented silicon nanowire (SiNW) arrays on silicon substrates at near room temperature by localized chemical etching is presented. The strategy is based on metal‐induced (either by Ag or Au) excessive local oxidation and dissolution of a silicon substrate in an aqueous fluoride solution. The density and size of the as‐prepared SiNWs depend on the distribution of the patterned metal particles on the silicon surface. High‐density metal particles facilitate the formation of silicon nanowires. Well‐separated, straight nanoholes are dug along the Si block when metal particles are well dispersed with a large space between them. The etching technique is weakly dependent on the orientation and doping type of the silicon wafer. Therefore, SiNWs with desired axial crystallographic orientations and doping characteristics are readily obtained. Detailed scanning electron microscopy observations reveal the formation process of the silicon nanowires, and a reasonable mechanism is proposed on the basis of the electrochemistry of silicon and the experimental results.  相似文献   

11.
Jing Yuhang  Meng Qingyuan  Zhao Wei 《半导体学报》2009,30(6):062003-062003-5
Molecular dynamics simulations with Stillinger-Weber potential are used to study the tensile and melting behavior of single-crystalline silicon nanowires (SiNWs). The tensile tests show that the tensile behavior of the SiNWs is strongly dependent on the simulation temperature, the strain rate, and the diameter of the nanowires.For a given diameter, the critical load significantly decreases as the temperature increases and also as the strain rate decreases. Additionally, the critical load increases as the diameter increases. Moreover, the melting tests demonstrate that both melting temperature and melting heat of the SiNWs decrease with decreasing diameter and length, due to the increase in surface energy. The melting process of SiNWs with increasing temperature is also investigated.  相似文献   

12.
Metal-assisted chemical etching (MACE) of silicon in an aqueous solution of hydrofluoric acid and hydrogen peroxide is established for the fabrication of large-area uniform silicon nanowire (SiNW) arrays. The effect of the silver catalyst layer thickness on the morphology of the synthesized nanostructures and nanowires is investigated. Atomic force microscopy (AFM) and field emission scanning electron microscopy (FESEM) reveal that the morphology of the fabricated silicon nanostructures remarkably depends on the catalyst layer thickness, and an optimum layer thickness is necessary for the fabrication of SiNWs. Also the effect of different etching times on the structural and optical properties of the fabricated SiNWs is investigated. FESEM showed a linear increment of the nanowire length and slight diameter changes through different etching times. The ultralow reflectance of SiNWs in the absorption region through the measurement of specular and diffuse reflectance showed that with increase in the etching time, the total reflectance remarkably decreases. A broadband visible photoluminescence (PL) emission from these wires was observed, and it could be stated that the silicon nanocrystals (SiNCs) are mostly responsible for the PL emission. The SiNC sizes were determined by an analytical model through a frequency shift in the Raman spectrum. The synthesized optically-active SiNWs could, therefore, be considered as a promising candidate for a new generation of nanoscale opto-electronic devices.  相似文献   

13.
Silicon nanowires (SiNWs) combined with a conducting polymer are studied to constitute a hybrid organic/inorganic solar cell. This type of cell shows a particularly high interfacial area between SiNWs and the polymer so that interfacial control and interface optimization are required. For that purpose, we terminated the SiNW surfaces with well selected functional groups (molecules) such as native oxide (hereinafter SiO2‐SiNW), hydrogen (hereinafter H‐SiNW) and methyl (hereinafter CH3‐SiNW). A radial hetero‐junction solar cell is formed, and the cell parameters with and without interface control by functionalization with molecules are compared. Electronically, the three surfaces were close to flat‐band conditions. The CH3‐SiNW, H‐SiNW and SiO2‐SiNW produced a surface dipole of −0.12, +0.07 and 0.2 eV and band bending of 50, 100 and 170 meV, respectively. The surface properties of functionalized SiNWs are investigated by photoelectron yield (PY) and photoemission spectroscopy. PY studies on functionalized SiNWs are presented for the first time, and our results show that this type of measurement is an excellent option to carry out interface optimization of NWs for envisaged nano‐electronic and photonic applications. The solar cell efficiency is increased dramatically after terminating the surface with CH3 molecules due to the decrease of the defect emission. The differently functionalized SiNW surfaces showed identical absorbance, reflectance and transmission so that a change in PY can be attributed to the Si–C bonds at the surface. This finding permits the design of new solar cell concepts. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

14.
The effect of alkali treatment of Si nanowires (SiNWs) on the spectral response of solar cells was investigated using monochromatic incident photon-to-electron conversion efficiency spectroscopy. SiNWs were prepared on a substrate by metal-assisted etching and were then treated with NaOH/isopropanol. The results show that alkali treatment of SiNWs for 30 s obviously improved the cell conversion efficiency. This was attributed to enhancement of the red light response and a decrease in surface reflectivity from 6% to ~2%. However, SiNW alkali treatment led to poor blue light response, which is a major limiting factor for efficient SiNW solar cells. To improve the photovoltaic properties of SiNW cells, a near-complete response over the whole solar spectrum is essential.  相似文献   

15.
材料受周围环境的影响而产生的结构或成分变化一直都是材料科学和工业研发领域最关注的方向之一。随着材料研究的纳米化,外场导致的材料在亚纳米或原子尺度上的结构变化越来越成为认知材料宏观和纳米材料特性之根本。在诸如纳米催化剂的催化机制,材料的氧化-还原机制,纳米材料的生长或受力形变,电、磁场对材料纳米尺度结构的影响及微量气体探测等很多研究中有许多问题都需要一种特殊的电子显微镜即高分辨率原位环境电镜来帮助寻求答案。本文简要地回顾了环境透射电镜技术的发展思路,对于现代气体环境透射电镜的主流技术作了初步介绍,特别强调了高分辨率环境电镜的重要性以及在环境电镜中实现高分辨率所需要关注的一些技术环节,并力图通过具体实验实例使读者充分了解高分辨率原位环境电镜在材料科学研究中的重要性。  相似文献   

16.
对基于一维纳米材料的硅纳米线场效应晶体管(SiNW-FET)传感器在疾病早期诊断中检测超低浓度生物标志物的优势进行了简单阐述,提出提高SiNW-FET传感器检测灵敏度的重要性和必要性.介绍了SiNW-FET传感器的工作原理、检测灵敏度和检测限(LOD).重点讨论了通过对SiNW表面修饰方法的优化、使用不同结构形状SiN...  相似文献   

17.
在材料科学和工业生产中,气态-固态相互作用是材料合成及处理过程中的基本环节之一,很多研究诸如纳米材料的生长、纳米材料对生态环境及生物组织的影响、催化剂的制备和催化过程,以及微量气体探测等都离不开对气态-固态反应的深入了解.随着纳米时代的来临,对气态-固态作用机制的研究也随之进入了原子分辨率的水平.所以样品室能够接受气体和根据需要对样品进行加热,并具备原子分辨率成像能力的透射电子显微镜就变得非常重要.本文旨在介绍应用日立300 kV H-9500型高分辨透射电子显微镜对不同材料进行原位电子显微术观察的技术,目的是研究固体材料与气体相互作用而产生的原子水平上的结构变化.日立H-9500型电镜具有较为独特的真空系统设计.一台高速涡轮分子真空泵与样品室连接使得气体可以不断被注入样品室又不断被快速抽出.在样品室的上方加装有一个小孔光阑,其作用是让电子束正常通过但大幅减少样品室中的气体向高真空的电子枪区域的扩散.在电子枪的下方设有一个真空测量装置,当从样品室泄漏过来的气体过多时,电子枪下方的一个阀门会自动关闭从而保护电子枪不被损坏.这台电镜既可以作为普通电镜用于结构研究和成分分析,需要时又可以用日立公司的'气体-加热样品台'将气体注入电镜样品室和对样品加热进行动态结构变化的高分辨研究.用这种电镜可以研究半导体材料、催化剂颗粒、纳米碳管、以及陶瓷材料.本文对部分研究结果进行了简略的介绍.  相似文献   

18.
Electronic characteristics of silicide/silicon interface were studied in the suspended, chemically synthesized silicon nanowires (SiNWs). Step-by-step intrusion of a silicide/Si interface along the axial direction of a suspended silicon nanowire was performed by repeated thermal annealing cycles, and the current-voltage (I-V) characteristics of the annealed silicide/SiNW/silicide structure were measured at each cycle. The intruded length of the silicide was found to be directly proportional to the total annealing time, but the rate of silicidation was much smaller than previous works on similar silicide/SiNWs. A structural kink with Ni atoms diffused along the sidewall created a secondary source of silicidation, resulting in anomalous I-V characteristics. The measured I-V including this unintentional silicidation in the Si channel was explained by various combinations of Schottky barriers and resistors.  相似文献   

19.
An approach for the large‐scale synthesis of high‐purity silicon nanowires (SiNWs) in ultrahigh vacuum is presented. A mixture of Si and SiO2 is evaporated by an electron beam, and the growth temperature is 700 °C, which is much lower than those used for other oxide‐assisted growths. A new type of single‐crystal SiNWs, with [221] orientation, is thus synthesized. Moreover, it is experimentally demonstrated that SiO intermediates are formed in the process, and the nanowires are obtained via a disproportionation reaction of 2SiO → Si + SiO2. A growth mechanism is proposed and the critical factors for the formation of 1D nanowires are also determined. The approach is particularly compatible with the mature Si‐based technology, and is favorable for device integration and practical applications.  相似文献   

20.
荆宇航  孟庆元  赵伟 《半导体学报》2009,30(6):062003-5
Molecular dynamics simulations with Stillinger-Weber potential are used to study the tensile and melting behavior of single-crystalline silicon nanowires(SiNWs).The tensile tests show that the tensile behavior of the SiNWs is strongly dependent on the simulation temperature,the strain rate,and the diameter of the nanowires.For a given diameter,the critical load significantly decreases as the temperature increases and also as the strain rate decreases.Additionally,the critical load increases as the diameter increases.Moreover,the melting tests demonstrate that both melting temperature and melting heat of the SiNWs decrease with decreasing diameter and length,due to the increase in surface energy.The melting process of SiNWs with increasing temperature is also investigated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号