首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
《Microelectronics Journal》2007,38(8-9):823-827
In essence, quantum dot dimensions and others can be laterally and vertically defined by using either bottom up or top down methods respectively. In fabrication that uses top down method, etch process hold a chief role. Varieties of etch times and oxygen flow rates in ranges 75–88 s and 20–50 sccm, respectively, were devised to fabricate optimum dimension of nanostructure. As a result, as etch time increased, lateral etch rate of silicon quantum dot, source and drain and also the nanostructure etch depth increased. However, high roughness of etched silicon surface profile led to concave surfaces of source and drain. In this research, no significant relation between quantum dot diameters and oxygen flow rates was found. There was a reflection point, fixed data dot of 26 sccm, of the decreasing and increasing lines of relation between nanostructure depth of etched silicon and nanostructure gradient with the O2 flow rate.  相似文献   

2.
Various kinds of plasma chemistries were used in the study of polysilicon gate stack etch. Different degrees of gate oxide surface roughness were observed. Stable gate oxide thickness and smooth surface were found when using fluorine-based plasma chemistries. In contrast, non-fluorine-based chemistry tends to give uneven gate oxide thickness and rough surface. The stability of the gate oxide thickness can be controlled by chamber seasoning when using non-fluorine-based chemistry. It is also noticed that fluorine-based chemistries always result in thicker remaining gate oxide than the one without fluorine. The type of wafer used for seasoning can also have influence on chamber condition and subsequently the etch rates and gate oxide thickness. From the trends of emission intensity of Si, it is believed that etch byproducts as well as chamber wall polymer have potential impacts on the observed variation of gate oxide surface roughness, thickness, and etch rates.  相似文献   

3.
Inductively coupled SF6 plasma etching of germanium (Ge) was investigated at different inductively coupled plasma (ICP) power levels, the SF6 flow rate, and the working pressure. The etch rate of Ge increases from 1007 to 2447 nm/min as the SF6 flow rate increases from 10 to 60 sccm. Also, the etch rate of Ge increases from 265 to 1007 nm/min as the ICP power level increases from 100 to 400 W whereas the etch rate of Ge decreases from 552 to 295 nm/min as the working pressure increases from 5 to 20 mTorr. The etch profile is isotropic. As SF6 flow, ICP power and working pressure decrease the surface roughness decreases. Optical emission spectroscopy was used to examine the gas phase species in the plasma, and emission from excited atomic S and F has been identified. Composition of the surface due to SF6 plasmas has been obtained using X-ray photoelectron spectroscopy. Reaction layers on germanium due to inductively coupled SF6 plasma etching are found to be a thin, layer with of G–-S, Ge–F and Ge–O bonded species.  相似文献   

4.
We report on preparation and electrical characterization of InAlN/AlN/GaN metal–oxide–semiconductor high electron mobility transistors (MOS HEMTs) with Al2O3 gate insulation and surface passivation. About 12 nm thin high-κ dielectric film was deposited by MOCVD. Before and after the dielectric deposition, the samples were treated by different processing steps. We monitored and analyzed the steps by sequential device testing. It was found that both intentional (ex situ) and unintentional (in situ before Al2O3 growth) InAlN surface oxidation increases the channel sheet resistance and causes a current collapse. Post deposition annealing decreases the sheet resistance of the MOS HEMT devices and effectively suppresses the current collapse. Transistors dimensions were source-to-drain distance 8 μm and gate width 2 μm. A maximum transconductance of 110 mS/mm, a drain current of ~0.6 A/mm (VGS = 1 V) and a gate leakage current reduction from 4 to 6 orders of magnitude compared to Schottky barrier (SB) HEMTs was achieved for MOS HEMT with 1 h annealing at 700 °C in forming gas ambient. Moreover, InAlN/GaN MOS HEMTs with deposited Al2O3 dielectric film were found highly thermally stable by resisting 5 h 700 °C annealing.  相似文献   

5.
The Mo-based metal inserted poly-Si stack (MIPS) structure is an appropriate choice for metal gate and high-k integration in sub-45 nm gate-first CMOS device. A novel metal nitride layer of TaN or AlN with high thermal stability has been introduced between Mo and poly-Si as a barrier material to avoid any reaction of Mo during poly-Si deposition. After Mo-based MIPS structure is successfully prepared, dry etching of poly-Si/TaN/Mo gate stack is studied in detail. The three-step plasma etching using the Cl2/HBr chemistry without soft landing step has been developed to attain a vertical poly-Si profile and a reliable etch-stop on the TaN/Mo metal gate. For the etching of TaN/Mo gate stack, two methods using BCl3/Cl2/O2/Ar plasma are presented to get both vertical profile and smooth etched surface, and they are critical to get high selectivity to high-k dielectric and Si substrate. In addition, adding a little SF6 to the BCl3/O2/Ar plasma under the optimized conditions is also found to be effective to smoothly etch the TaN/Mo gate stack with vertical profile.  相似文献   

6.
PBTI degradation on FinFETs with HfO2/TiN gate stack (EOT < 1 nm) is studied. Thinner TiN layer decreases interfacial oxide thickness, and reduces PBTI lifetime. This behavior is consistent with the results in planar devices. Corner rounding effect on PBTI is also analyzed. Finally, charge pumping measurements on devices with several fin widths devices apparently show a higher density of defects in the top-wall high-κ oxide than in the sidewall of the fin. This could explain more severe PBTI degradation.  相似文献   

7.
《Microelectronics Reliability》2014,54(6-7):1133-1136
It was found that the electrical properties of CeO2/La2O3 stack are much better than a single layer La2O3 film. A thin CeO2 capping layer can effectively suppress the oxygen vacancy formation in the La2O3 film. This work further investigates the current conduction mechanisms of the CeO2 (1 nm thick)/La2O3 (4 nm thick) stack. Results show that this thin stacked dielectric film still has a large leakage current density; the typical 1−V leakage can exceed 1 mA/cm2 at room temperature. The large leakage current should be due to both the oxide defect centers as well as the film structure. Results show that at low electric field (<0.2 MV/cm), the thermionic emission induced current conduction in this stacked structure is quite pronounced as a result of interface barrier lowering due to the capping CeO2 film which has a higher k value than that of the La2O3 film. At higher electric fields, the current conduction is governed by Poole–Frenkel (PF) emission via defect centers with an effective energy level of 0.119 eV. The temperature dependent current–voltage characteristics further indicate that the dielectric defects may be regenerated as a result of the change of the thermal equilibrium of the redox reaction in CeO2 film at high temperature and the drift of oxygen under the applied electric field.  相似文献   

8.
《Microelectronic Engineering》2007,84(9-10):2138-2141
Enhancement mode, high electron mobility MOSFET devices have been fabricated using an oxide high-κ gate dielectric stack developed using molecular beam epitaxy. A template layer of Ga2O3, initially deposited on the surface of the III-V device unpins the GaAs Fermi level while a (GdxGa1−x)2O3 bulk ternary layer forms the highly resistive layer to reduce leakage current through the dielectric stack. A midgap interface state density of ∼2 × 1011 cm−2 eV−1 and a dielectric constant of 20 are determined using electrical measurements.. N-channel MOSFETs with a gate length of 1 μm and a source-drain spacing of 3 μm show a threshold voltage, saturation current and transconductance of 0.11 V, 380 mA/mm and 250 mS/mm, respectively.  相似文献   

9.
Layout patterns, including salient gate width and dummy active diffusion region (dummy OD), significantly influence the carrier mobility gain of nano scale devices. Germanium (Ge)-based devices with Ge–tin (GeSn) alloy embedded in the source/drain (S/D) regions have been regarded a promising candidate for higher channel mobility. Second-order piezoresistance coefficients were used to estimate the carrier mobility gain within the desired Ge-based device channel. A 20 nm Ge-based p-type metal oxide semiconductor field effect transistor with 100 nm gate width and 100 nm dummy OD width was selected to explore the layout effect of the short channel device. The device consisted of S/D region Ge1−xSnx alloy, compressive-stressed contact etch stop layer, and deposited shallow trench isolation with different process-induced stress magnitudes. Maximum carrier mobility gain of 93.65% was obtained when a 10 nm narrow distance between OD and dummy OD was achieved.  相似文献   

10.
《Microelectronics Journal》2007,38(6-7):783-786
For low power applications, the increase of gate leakage current, caused by direct tunneling in ultra-thin oxide films, is the crucial factor eliminating conventional SiO2-based gate dielectrics in sub-90 nm CMOS technology development. Recently, promising performance has been demonstrated for poly-Si/high-k and poly-Si/SiON gate stacks in addressing gate leakage requirements for low power applications. However, the use of poly-Si gate electrodes on high-k created additional issues such as channel mobility and reliability degradations, as well as Fermi level pinning of the effective gate work function. Therefore, oxynitride gate dielectrics are being proposed as an intermediate solution toward the sub-65/45 nm nodes. Apparently, an enhanced SiON gate dielectric stack was developed and reported to achieve high dielectric constant and good interfacial properties. The purpose of this paper is to provide a comprehensive review some of the device performance and limitation that high-k and oxynitride as dielectric materials are facing for sub-65/45 nm node.  相似文献   

11.
In this work, the influence of polysilicon doping on thin oxides (thickness equal or below 10 nm) quality and reliability (thickness equal or below 10 nm) in MOS capacitors with polysilicon gate is evaluated. By observing the polysilicon deposed in vertical and horizontal furnaces, a higher degradation in the oxide–silicon interface at high doping concentration has been found. In the case of vertical furnaces, a more evident charge trapping in the constant current stress (CCS) V(t) curves and Qbd (ERCS) degradation have also been noticed. Resistivity measurements at different concentrations show a saturation effect just in correspondence of the oxide degradation. From a morphological point of view, the poly deposited in vertical furnaces consists of grains which are larger than the ones found in horizontal furnace polysilicon and contains lower microdefectivity. Starting from these observations a model explaining the polysilicon morphology role in the oxide reliability can be proposed. According to it, the degradation of the interface is caused by the phosphorus coming from the “in situ” doped polysilicon. The hypothesis is that, at high concentrations and in presence of very large polysilicon grains, phosphorous cannot segregate at the interfaces among the polysilicon grains and, moving through the thin oxide, damages the silicon interface. This model has been confirmed by electrical, AFM and TEM analysis and all the collected data have been related to the finished devices performances (yield and reliability of CMOS flash memories, 0.25 μm technology and below).  相似文献   

12.
A metal oxide semiconductor field effect transistor (MOSFET) with ultra-thin La2O3/Y2O3 high-k gate dielectric was fabricated. The effects of thermal treatment process on both physical and electrical characteristics of the La2O3/Y2O3 stack were studied using XPS and electrical measurements. It was observed that the effective mobility of the fabricated MOSFETs with La2O3/Y2O3 gate stack was not degraded with increasing the annealing temperatures up to 600 °C. X-ray photoelectron spectroscopy (XPS) analysis also revealed that the formation of SiO2 and silicate layer at the interface was suppressed in La2O3/Y2O3 stack compare to that of in La2O3 single layer. Obtained results suggesting that La2O3/Y2O3 gate stack is one of the promising candidates for high-k gate insulator to be used in the future metal oxide field effect transistors.  相似文献   

13.
Generating suitable passivation on the carbon sidewall is a major challenge facing carbon etching especially for films thicker than 500 nm. Patterning carbon hard mask stacks for sub 90 nm technologies was tested for three different O2-based chemistries using an inductively coupled plasma etch tool. The results show that the etched carbon profiles are highly dependant upon the O2 flow and the total time of the etch process. Extended over etch times quite often initiates lateral etching and rapid loss of profile and critical dimension. An HBr/O2/N2 chemistry has been shown to provide the best options for profile control and more resistance to profile loss during extended over etching than the other chemistries which were tested during this study.  相似文献   

14.
We have investigated electrical stress-induced positive charge buildup in a hafnium aluminate (HfAlO)/silicon dioxide (SiO2) dielectric stack (equivalent oxide thickness = 2.63 nm) in metal–oxide–semiconductor (MOS) capacitor structures with negative bias on the TaN gate. Various mechanisms of positive charge generation in the dielectric have been theoretically studied. Although, anode hole injection (AHI) and valence band hole tunneling are energetically favorable in the stress voltage range studied, the measurement results can be best explained by the dispersive proton transport model.  相似文献   

15.
We discuss options for metal–oxide-semiconductor field-effect transistor (MOSFET) gate stack scaling with thin titanium nitride metal gate electrodes and high-permittivity (‘high-k’) gate dielectrics, aimed at gate-first integration schemes. Both options are based on further increasing permittivity of the dielectric stack. First, we show that hafnium-based stacks such as TiN/HfO2 can be scaled to capacitance equivalent thickness in inversion (Tinv) of 10 Å and equivalent oxide thickness (EOT) of 6 Å by using silicon nitride instead of silicon oxide as a high-k/channel interfacial layer. This is based on the higher dielectric constant of Si3N4 and on its resistance to oxidation. Although the nitrogen introduces positive fixed charges, carrier mobility is not degraded. Secondly, we investigate whether Ti-based ‘higher-k’ dielectrics have the potential to ultimately replace Hf. We discuss oxygen loss from TiO2 as a main challenge, and identify two migration pathways for such oxygen atoms: In addition to well-known down-diffusion and channel Si oxidation, we have newly observed oxygen up-diffusion through the TiN metal gate, forming SiO2 at the poly-Si contact. We further address the performance of Si3N4 and HfO2 as oxygen barrier layers.  相似文献   

16.
Atomic layer deposited (ALD) HfO2/GeOxNy/Ge(1 0 0) and Al2O3/In0.53Ga0.47As(1 0 0) ? 4 × 2 gate stacks were analyzed both by MOS capacitor electrical characterization and by advanced physical characterization to correlate the presence of electrically-active defects with chemical bonding across the insulator/channel interface. By controlled in situ plasma nitridation of Ge and post-ALD annealing, the capacitance-derived equivalent oxide thickness was reduced to 1.3 nm for 5 nm HfO2 layers, and mid-gap density of interface states, Dit = 3 × 1011 cm?2 eV?1, was obtained. In contrast to the Ge case, where an engineered interface layer greatly improves electrical characteristics, we show that ALD-Al2O3 deposited on the In0.53Ga0.47As (1 0 0) ? 4 × 2 surface after in situ thermal desorption in the ALD chamber of a protective As cap results in an atomically-abrupt and unpinned interface. By avoiding subcutaneous oxidation of the InGaAs channel during Al2O3 deposition, a relatively passive gate oxide/III–V interface is formed.  相似文献   

17.
We study n- and pMOS devices with 3.2–30 nm thick SiON or SiO2 gate dielectrics and n++ or p++ doped polysilicon gates to identify the type and energetic location of defects created through bias temperature stress. The results clearly indicate a dependence of the type of BTS induced defects on the stress polarity and the gate poly doping. If holes are provided from the p++ poly gate and the gate dielectric is sufficiently thin, NBTI-type donor-like defects may occur even under positive bias stress conditions. For devices with sufficiently thick dielectrics or n++ poly gated devices, holes are absent during PBTI stress and acceptor-like defects are created.  相似文献   

18.
This article reports on the epitaxy of crystalline high κ oxide Gd2O3 layers on Si(1 1 1) for CMOS gate application. Epitaxial Gd2O3 thin films have been grown by Molecular Beam Epitaxy (MBE) on Si(1 1 1) substrates between 650 and 750 °C. The structural and electrical properties were investigated depending on the growth temperature. The CV measurements reveal that equivalent oxide thickness (EOT) equals 0.7 nm for the sample deposited at the optimal temperature of 700 °C with a relatively low leakage current of 3.6 × 10?2 A/cm2 at |Vg ? VFB| = 1 V.  相似文献   

19.
The outstanding electron transport properties of InGaAs and InAs semiconductor materials, makes them attractive candidates for future nano-scale CMOS. In this paper, the ON state and OFF state performance of 30 nm gate length InGaAs/InAs/InGaAs buried composite channel MOSFETs using various high-K dielectric materials is analyzed using Synopsys TCAD tool. The device features a composite channel to enhance the mobility, an InP spacer layer to minimize the defect density and a heavily doped multilayer cap. The simulation results show that MOSFETs with Al2O3/ZrO2 bilayer gate oxide exhibits higher gm/ID ratio and lower sub threshold swing than with the other dielectric materials. The measured values of threshold voltage (VT), on resistance (RON) and DIBL for Lg = 30 nm In0.53Ga0.47As/InAs/In0.53Ga0.47As composite channel MOSFET having Al2O3/ZrO2 (EOT = 1.2 nm) bilayer dielectric as gate oxide are 0.17 V, 290 Ω-µm, and 65 mV/V respectively. The device displays a transconductance of 2 mS/µm.  相似文献   

20.
Wide band gap and highly conducting n-type nano-crystalline silicon film can have multiple roles in thin film solar cell. We prepared phosphorus doped micro-crystalline silicon oxide films (n-μc-SiO:H) of varying crystalline volume fraction (Xc) and applied some of the selected films in device fabrication, so that it plays the roles of n-layer and back reflector in p-i-n type solar cells. It is generally understood that a higher hydrogen dilution is needed to prepare micro-crystalline silicon, but in case of the n-μc-SiO:H an optimized hydrogen dilution was found suitable for higher Xc. Observed Xc of these films mostly decreased with increased plasma power (for pressure<2.0 Torr), increased gas pressure, flow rate of oxygen source gas and flow rates of PH3>0.08 sccm. In order to determine deposition conditions for optimized opto-electronic and structural characteristics of the n-μc-SiO:H film, the gas flow rates, plasma power, deposition pressure and substrate temperature were varied. In these films, the Xc, dark conductivity (σd) and activation energy (Ea) remained within the range of 0–50%, 3.5×10−10 S/cm to 9.1 S/cm and 0.71 eV to 0.02 eV, respectively. Low power (30 W) and optimized flow rates of H2 (500 sccm), CO2 (5 sccm), PH3 (0.08 sccm) showed the best properties of the n-μc-SiO:H layers and an improved performance of a solar cell. The photovoltaic parameters of one of the cells were as follows, open circuit voltage (Voc), short circuit current density (Jsc), fill-factor (FF), and photovoltaic conversion efficiency (η) were 950 mV, 15 mA/cm2, 64.5% and 9.2% respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号