首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 325 毫秒
1.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

2.
S.D. Park 《Thin solid films》2007,515(12):5045-5048
In this study, the effect of BCl3/C4F8 gas mixture on the ZrOx etch rates and the etch selectivities of ZrOx/Si were investigated and its etch mechanism was studied. The increase of C4F8 in BCl3/C4F8 decreased the silicon etch rate significantly and finally deposition instead of etching occurred by mixing C4F8 more than 3%. In the case of ZrOx, the etch rate remained similar until 4% of C4F8 was mixed, however, the further increase of C4F8 percentage finally decreased the ZrOx etch rate and deposition instead of etching occurred by mixing more than 6%. Therefore, by mixing 3-4% of C4F8 to BCl3, infinite etch selectivity of ZrOx/Si could be obtained while maintaining the similar ZrOx etch rate. The differences in the etch behaviors of ZrOx and Si were related to the different thickness of C-F polymer formed on the surfaces. The thickness of the C-F polymer on the ZrOx surface was smaller due to the removal of carbon incident on the surface by forming COx with oxygen in ZrOx. Using 12 mTorr BCl3/C4F8 (4%), 700 W of rf power, and − 80 V of dc bias voltage, the ZrOx etch rate of about 535 Å/min could be obtained with infinite etch selectivity to Si.  相似文献   

3.
Byungwhan Kim  Junggi Bae 《Vacuum》2006,81(3):338-343
Silicon oxynitride films were etched in a C2F6 inductively coupled plasma. In all experiments, microtrenching occurred at the feet of the profile sidewall. The microtrenching was characterized in terms of maximum depth and width. Each characteristic was examined as a function of the process parameters, including radiofrequency source power, bias power, pressure, and C2F6 flow rate. Apart from the etch mechanisms, relationships between microtrenching and profile angle were also identified. Profile angle variation played an important role in understanding depth variation. The width of microtrenching increased with increasing the source or bias power. In contrast, increasing the C2F6 flow rate decreased the width. Effect of process parameters on microtrenching at various plasma conditions was characterized by using a statistical experimental design. Smaller depths and widths were obtained at lower source and bias powers. The main effect analysis revealed that the bias power had a considerable impact on both characteristics.  相似文献   

4.
Optical loss is a crucial quality for the application of polymer waveguide devices. The optimized oxygen inductively coupled plasma etching conditions, including antenna power, bias power, chamber pressure, O2 flow rate and etching time for the fabrication of smooth vertical poly(methyl-methacrylate-glycidly-methacrylate) channel waveguide were systematically investigated. Atomic force microscopy and scanning electron microscopy were used to characterize the etch rate, surface roughness and vertical profiles. The increment of etch rate with the antenna power, bias power and O2 flow rate was observed. Bias power and chamber pressure were found to be the main factor affecting the interface roughness. The vertical profiles were proved to be closely related to antenna power, bias power and O2 flow rate. Surface roughness increment was observed when the etching time increased.  相似文献   

5.
A new empirical technique to construct predictive models of plasma etch processes is presented. This was accomplished by combining a generalized regression neural network (GRNN) and a random generator (RG). The RG played a critical role to control neuron spreads in the pattern layer. The proposed R-GRNN was evaluated with experimental plasma etch data. The etching of silica thin films was characterized by a 23 full factorial experiment. The etch responses examined include aluminium etch rate, silica etch rate, profile angle, and DC bias. Additional test data were prepared to evaluate model appropriateness. Compared to conventional GRNN, the R-GRNN demonstrated much improved predictions of more than 40% for all etch responses. This was illustrated over statistical regression models. As a result, the proposed R-GRNN is an effective way to considerably improve the predictive ability of conventional GRNN.  相似文献   

6.
Surface reactions induced by fluorocarbon plasmas were studied on Si substrates with SiO2 and photo-resist overlayers using an inductively coupled plasma source. As source gases, C4F8 and C5F8 were employed to investigate their differences in the etching performance and the selectivity between SiO2 and photo-resist. Deposition of fluorocarbon polymer was noticed in both gases by Fourier-transform infrared ellipsometric measurements when substrate bias was not applied. With the bias application, etching started on both substrate from certain threshold values of the bias voltage and the rate increased with increase of the voltage. However, in C5F8 plasma the increasing tendency on photo-resist was much less than on SiO2, while in C4F8 plasma the difference is small. This difference is attributed to a larger deposition ability of C5F8 plasma with higher content of fluorine atoms in the polymer than that of C4F8 plasma as confirmed by X-ray photoelectron spectroscopy.  相似文献   

7.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

8.
H.M. Naguib  R.A. Bond  H.J. Poley 《Vacuum》1983,33(5):285-290
We have investigated the plasma etching characteristics of chromium thin films in an rf planar (parallel plate) reactor. The experimental work was performed using a commercial reactor operating at 13.56 MHz with power variable up to 500 W. The etch rate of the Cr films deposited on glass substrates by e-beam evaporation was measured as a function of the concentration of O2 in a CCl4/O2 gas mixture, the total flow rate of input gases and the rf power density. Using a total gas flow of 15 sccm and an input power density of 0.4 W cm?2, the maximum etch rate was obtained in CCl4 plasma containing 40% O2. It was found that doubling the number of the substrates in the reactor decreased the etch rate by 20%. Also, the etch rate at the back of the reactor was twice that at the front. Methods to alliviate non-uniformity and loading effects are discussed and the mechanism of plasma etching of Cr is examined through the effect of various processing parameters on the etching characteristics.  相似文献   

9.
We have studied the reactive ion etching of Ru electrode using O2/Cl2 plasma. We have revealed that the Ru etch rate and thus the Ru to SiO2 etch selectivity increase by increasing pressure, total gas flow rate, temperature and decreasing the HRF power and LRF power. The vertical Ru etching profile is attained.  相似文献   

10.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

11.
The investigation of Al2O3 etch characteristics in the BCl3/Ar inductively coupled plasma was carried out in terms of effects of input process parameters (gas pressure, input power, bias power) on etch rate and etch selectivity over poly-Si and photoresist. It was found that, with the changes in gas pressure and input power, the Al2O3 etch rate follows the behavior of ion current density while the process rate is noticeably contributed by the chemical etch pathway. The influence of input power on the etch threshold may be connected with the concurrence of chemical and physical etch pathways in ion-assisted chemical reaction.  相似文献   

12.
Modelling of plasma etching using a generalized regression neural network   总被引:1,自引:0,他引:1  
Plasma etching was modelled by using a generalized regression neural network (GRNN). The etching process was characterized with a statistical experimental design. Three etch responses were modelled, which include two etch rates of aluminium and silica and etching profile. GRNN prediction ability was optimized as a function of training factor. Three types of models were constructed depending on the type of prepared data. Type I model corresponds to the model constructed with the original, non-classified data. Type II and III models were built for the classified data without and with the control of data interface, respectively. Compared to type I models, type II models for two etch rates demonstrated more than 25% improvement. By the control of data interface, type III models exhibited more than 15% improvement over type II models. Classification-based models in conjunction with data control thus illustrated much improved prediction of GRNN over those for non-classified models.  相似文献   

13.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

14.
Jong-Chang Woo 《Thin solid films》2010,518(10):2905-2909
The etching characteristics of zinc oxide (ZnO) including the etch rate and the selectivity of ZnO in a BCl3/Ar plasma were investigated. It was found that the ZnO etch rate showed a non-monotonic behavior with an increasing BCl3 fraction in the BCl3/Ar plasma, along with the RF power, and gas pressure. At a BCl3 (80%)/Ar (20%) gas mixture, the maximum ZnO etch rate of 50.3 nm/min and the maximum etch selectivity of 0.75 for ZnO/Si were obtained. Plasma diagnostics done with a quadrupole mass spectrometer delivered the data on the ionic species composition in plasma. Due to the relatively high volatility of the by-products formed during the etching by the BCl3/Ar plasma, ion bombardment in addition to physical sputtering was required to obtain the high ZnO etch rates. The chemical state of the etched surfaces was investigated with X-ray Photoelectron Spectroscopy (XPS). Inferred from this data, it was suggested that the ZnO etch mechanism was due to ion enhanced chemical etching.  相似文献   

15.
We have studied the chemical dissolution of InAs, InSb, GaAs, and GaSb crystals in (NH4)2Cr2O7–HBr–C6H8O7 solutions. The dissolution rate of the crystals has been measured as a function of etchant composition, and the kinetics of the chemical interaction of the semiconductors with solutions have been investigated in detail. The dissolution rate has been shown to be diffusion-limited. Citric acid helps to reduce the etch rate and improves the polishing performance of the etching solutions.  相似文献   

16.
A.M. Efremov 《Vacuum》2004,75(2):133-142
In this work, we carried out investigations aimed at understanding the effect of gas mixing ratio on plasma parameters, gas phase composition and etch rate in CF4/Ar inductively coupled plasma. For this purpose, a combination of experimental methods and modelling was used. Experiments showed that electron temperature and electron density are not very sensitive to variations of Ar content in CF4/Ar plasma. From a zero-dimensional plasma model, the densities of both neutral and charged particles change monotonically. The analysis of surface kinetics based on an ion-assisted etching mechanism showed the possibility of non-monotonic etch rate behaviour due to a concurrence of chemical and physical etching pathways.  相似文献   

17.
B.S. Kwon 《Thin solid films》2010,518(22):6451-6454
Highly selective etching of a SiO2 layer using a chemical vapor deposited (CVD) amorphous carbon (a-C) mask pattern was investigated in a dual-frequency superimposed capacitively coupled plasma etcher. The following process parameters of the C4F8/CH2F2/O2/Ar plasmas were varied: the CH2F2/(CH2F2 + O2) flow ratio (Q(CH2F2)), the high frequency power (PHF), and the low frequency power (PLF). It was found a process window exists to obtain infinitely high etch selectivity of the SiO2 layer to the CVD a-C. The process parameters of Q(CH2F2), PHF, and PLF played critical roles in determining the process window for oxide/CVD a-C etch selectivity, presumably due to the disproportionate degree of polymerization on the SiO2 and CVD a-C surfaces.  相似文献   

18.
Da Chen  Dong Xu  Bo Zhao 《Vacuum》2008,83(2):282-285
The plasma produced by the mixture of fluoride and argon (SF6/Ar) was applied for the dry etching of AlN films. Very high etching rate up to 140 nm/min have been observed. The effects of the bias voltage and the plasma component on the etching results were investigated. It shows that AlN can be effectively etched by the plasma with the moderate SF6 concentration and the etching rate varies linearly with the bias voltage. The FTIR spectra confirm that AlF3 is formed due to the chemical reaction of Al and F atoms. The mechanism of AlN etching in F-based plasma is probably a combination between physical sputtering and chemical etching and can be briefly outlined: (i) F ions reacts with Al atoms to form low volatile product AlF3 and passivate the surface, and (ii) at the same time the Ar+ ions sputter the reaction product from the surface and keep it fluoride free to initiate further reaction. AlF3 formed on the patterned sidewall play a passivation role during the etching process. The etching process is highly anisotropic with quite smooth surface and vertical sidewalls.  相似文献   

19.
N2 and NO gas addition to F2/Ar remote plasmas during chemical dry etching (CDE) of low-k SiOCH layer was effective in increasing the etch rate, but the addition of O2 decreased the etch rate. And, the injection of NO gas directly into the reactor increased the SiOCH etch rate most significantly. The addition of N2 or NO gas contributes to an effective removal of oxygen in the SiOCH layer, by forming NO2 and HNO3 by-products, and of carbon species in the SiOCH layer by forming CF4 by-product, which leads to enhancement of SiF4 formation and in turn increase in the SiOCH etch rate.  相似文献   

20.
Silicon carbide was etched in a NF3/CH4 inductively coupled plasma. Surface roughness measured by atomic force microscopy was investigated as a function of process parameters. Both etch rate and dc bias were correlated to the surface roughness. To optimize the surface roughness, a 24 full factorial experiment was conducted for 700-900 W source power, 50-150 W bias power, 0.80-1.60 Pa, and 20-100% NF3 percentage. Main effect analysis revealed that the surface roughness is the most strongly affected by the bias power. For variations in the bias power or NF3 percentage, decrease in the surface roughness was observed only as positive variations in the etch rate and dc bias are considerably large. The surface roughness with the pressure was chemically dominated as illustrated by its inverse relationship with the dc bias. For the variations in the NF3 percentage, the radical variation was estimated to play a more dominant role. The smoothest surface roughness of 0.312 nm was obtained at 700 W source power, 150 W bias power, 1.60 Pa pressure, and 100% NF3 percentage.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号