首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 78 毫秒
1.
选用Kodak公司生产的大面阵行间转移型CCD(电荷耦合器件)芯片KAI-2093作为数码摄像机的图像传感器,介绍了其内部结构和工作原理,探讨了基于可编程逻辑器件FPGA用于对CCD驱动电路设计的方法和实现途径。基于KAI-2093的驱动时序和VHDL语言,给出了部分驱动时序的程序。结果表明本设计各项参数及指标均符合实际工作需要。此方法也可适用于其他类型的CCD驱动电路的设计。  相似文献   

2.
详细介绍了Photobit公司的PB-1024CMOS APS图像传感器的驱动时序关系,提出了基于CPLD来实现CMOS APS图像传感器驱动控制电路的方法。系统选用美国Xilinx公司的XC9500系列CPLD作为硬件设计的开发平台,运用VHDL语言来实现对驱动电路的硬件描述,并采用Xilinx公司的Foundation软件对设计的驱动时序进行了仿真。测试与仿真结果表明所设计的驱动时序电路完全能够达到CMOS APS图像传感器的要求。  相似文献   

3.
详细介绍了Photobit公司的PB-1024 CMOS APS图像传感器的驱动时序关系,提出了基于CPLD来实现CMOS APS图像传感器驱动控制电路的方法.系统选用美国Xilinx公司的XC9500系列CPLD作为硬件设计的开发平台,运用VHDL语言来实现对驱动电路的硬件描述,并采用Xilinx公司的Foundation软件对设计的驱动时序进行了仿真.测试与仿真结果表明所设计的驱动时序电路完全能够达到CMOS APS图像传感器的要求.  相似文献   

4.
详细介绍了Photobit公司的PB-1024CMOS APS图像传感器的驱动时序关系,提出了基于CPLD来实现CMOS APS图像传感器驱动控制电路的方法。系统选用美国Xilinx公司的XC9500系列CPLD作为硬件设计的开发平台,运用VHDL语言来实现对驱动电路的硬件描述,并采用Xilinx公司的Foundation软件对设计的驱动时序进行了仿真。测试与仿真结果表明所设计的驱动时序电路完全能够达到CMOS APS图像传感器的要求。  相似文献   

5.
基于CPLD的高速面阵CCD驱动电路设计   总被引:6,自引:0,他引:6  
着重介绍了基于CPLD来设计产生高速面阵IA-D1CCD芯片复杂驱动时序和整个CCD相机的电子系统控制逻辑时序。同时采用CCD视频处理专用集成芯片处理CCD输出信号,提高了图像信噪比,改善了图像质量。使用结果表明:该硬件电路结构简单、成本低廉、可靠性高、功耗较低,并满足了工程项目小型化的要求。  相似文献   

6.
以加拿大Dalsa公司的全帧CCD图像传感器FTF4027M为例,在研究了全帧CCD结构和驱动时序的基础上,提出了基于现场可编程逻辑门阵列(FPGA)的驱动脉冲设计方法.选用FPGA作为硬件设计平台,使用VHDL语言对驱动时序发生器进行了硬件描述,采用Quartus Ⅱ 5.0对所设计的驱动时序发生器进行了仿真,针对Altera公司的FPGA器件EP1C3T144C8进行了适配.实验结果表明,设计的驱动电路可以满足其全帧CCD的各项驱动要求并且具有设计灵活、硬件调试简单的优点.  相似文献   

7.
CCD(电荷耦合器件)作为一种应用广泛的新型半导体光电器件,驱动时序电路的实现是其应用的关键问题。提出了基于CPLD(复杂可编程逻辑器件)实现CCD驱动电路的方法。选用Al-tera公司的MAX7000S系列CPLD作为硬件设计平台,运用VHDL对驱动时序电路进行了描述,并给出了部分程序,采用Altera公司的QUARTUSⅡ软件对所设计的驱动程序进行了仿真,并用数字示波器观察输出波形。测量和仿真的结果证明是可行的。  相似文献   

8.
基于CPLD的面阵CCD图像传感器驱动时序发生器设计   总被引:1,自引:0,他引:1       下载免费PDF全文
陈学飞  汶德胜  王华 《电子器件》2007,30(3):883-885,889
在分析FTT1010-M型面阵CCD图像传感器驱动时序关系的基础上,设计了可调曝光时间的面阵CCD图像传感器驱动时序发生器.选用CPLD器件作为硬件设计平台,使用VHDL语言对驱动时序发生器进行了硬件描述.采用Quartus II对所设计的驱动时序发生器进行了功能仿真,并针对ALTERA公司的EPM7160SLC84-10进行了RTL级仿真及配置.系统测试结果表明,所设计的驱动时序发生器不仅可以满足面阵CCD图像传感器的驱动要求,而且还能够调节其曝光时间.  相似文献   

9.
基于CPLD的全帧型CCD图像传感器驱动系统设计   总被引:1,自引:0,他引:1  
CCD芯片的驱动系统是数字航测相机的核心部分,它关系到整个相机的性能和技术指标.这里介绍了高分辨率全帧CCD芯片FTF4052M的内部结构和驱动时序.采用集成芯片设计该CCD芯片的驱动电路,并应用于数字航测相机系统.实验结果表明,该CCD驱动系统采用CPLD进行设计,具有性能好,功耗低,体积小的优点,满足了数字航测相机系统的设计要求.  相似文献   

10.
何敏  王道平 《电子科技》2011,24(9):73-75
论述了线阵CCD驱动电路的工作原理和现状,选择基于CPLD驱动线阵CCD工作的方案。采用MAXⅡ器件的EPM240T100C5N为控制核心,以TCD1500C为例,设计了基于CPLD的线阵CCD驱动电路,完成了硬件电路的原理图的设计,并实现了软件调试。通过QuartusⅡ软件平台,对其进行了模拟仿真。实验结果表明,设计...  相似文献   

11.
电子倍增CCD驱动电路设计   总被引:1,自引:1,他引:1  
提供了一种针对电子倍增CCD(EMCCD)驱动电路的设计方案。通过FPGA编程产生符合EMCCD时序要求的信号波形,采用EL7457高速MOSFET驱动芯片对FPGA输出信号进行电平转换以满足EMCCD驱动电压要求,并由分立的推挽放大电路驱动高电压信号,输出电压20~50 V可调,像素读出频率达5 MHz。实验结果表明,该驱动电路能够使EMCCD正常工作输出有效信号。  相似文献   

12.
以Atmel公司的面阵CCD-TH7888A图像传感器为例,在研究了CCD结构和驱动时序图的基础上提出基于FPGA的驱动脉冲设计方法和硬件电路实现。使用VHDL语言对驱动时序发生器进行了硬件描述,并采用Quartus5.0对设计的驱动时序发生器进行仿真。试验结果表明,涉及的驱动电路可以满足面阵CCD-TH7888A的各项驱动要求。  相似文献   

13.
郭焱 《电子质量》2013,(10):13-16,27
CCD驱动时序电路的设计实现是其应用的关键问题。该文在分析TCD1209D线阵CCD的工作原理和驱动时序等特性的基础上,提出了一种基于CPLD的线阵CCD驱动电路的设计方法,其中选用MAXII系列CPLD作为硬件设计平台,运用VHDL语言设计驱动时序电路。该设计使用ouartusII软件对所设计的驱动程序进行了仿真,仿真与实验结果表明该方案设计可行,电路结构简单,集成度较高,实用性强,并具有一定通用性。  相似文献   

14.
基于FPGA的面阵CCD驱动及快速显示系统的设计实现   总被引:2,自引:0,他引:2  
张传胜 《液晶与显示》2012,27(6):789-794
为了实现面阵CCD传感器采集的数据在TFT液晶屏上的快速显示,提出一种基于现场可编程逻辑门阵列(FPGA)的快速显示系统。利用FPGA构建软核处理器(NiosII),采用专用IC模块AD9929作为CCD驱动与处理芯片,并依据TFT液晶屏和芯片AD9929的接口时序设计驱动电路,利用DMA技术实现采集数据的快速显示。电路的测试结果表明,利用该方法可以把面阵CCD传感器采集的数据快速显示在TFT液晶屏上,在工业现场监视场合具有广泛的实用性。  相似文献   

15.
基于FPGA的大面阵CCD高帧频驱动电路设计   总被引:2,自引:2,他引:2  
介绍了Dalsa公司的33M像素大面阵CCD的内部结构,着重分析了该款CCD的驱动时序.针对大面阵CCD图像传感器帧频较低的缺点,设计了基于现场可编程逻辑门阵列的驱动电路.改进了CCD芯片的偏置电压电路,提出了4 路同时输出以提高帧频的电路设计方法,最高帧频可达2.7帧/s ,相比单端输出时的0.7帧/s提高了约4倍.选用FPGA作为核心器件,使用VHDL语言设计驱动时序,在ISE和Modelsim环境下对所设计的驱动时序发生器进行仿真实验.实验结果表明,所设计的驱动电路能够满足大面阵CCD高帧频应用.  相似文献   

16.
基于FPGA的面阵CCD驱动电路的设计   总被引:1,自引:1,他引:1  
介绍一种面阵CCD传感器TH7888A的原理和性能,分析其对驱动信号的时序要求,选用FPGA器件作为硬件设计平台,使用VHDL语言对驱动信号时序进行硬件描述,针对Xilinx公司的Spartan3系列芯片进行仿真及配置;选用LM117提供CCD所需的偏置电压,EL7212提供驱动。系统测试结果表明,该CCD驱动电路可以满足CCD的工作要求。  相似文献   

17.
张熠  丁辉 《现代电子技术》2007,30(24):169-170,176
为了克服早期电荷耦合器件CCD驱动电路体积大、设计周期长、调试困难等缺点,提出利用复杂可编程逻辑器件CPLD,结合硬件描述语言VHDL,实现线阵CCD的驱动时序电路设计。通过在Max PlusⅡ平台下对驱动时序仿真,并进行实际测量,结果表明该设计方案实现了对CCD器件的时序驱动。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号