首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
We have fabricated a pentacene based phototransistor by employing a modified nanostructured SiO2 gate dielectric. The photosensing properties of the pentacene thin film transistor fabricated on n-Si substrate with nanostructured SiO2 as gate dielectric have been investigated. The photocurrent of the transistor increases with an increase in illumination intensity. This suggests that the pentacene thin film transistor behaves as a phototransistor with p-channel characteristics. The photosensitivity and responsivity values of the transistor are 630.4 and 0.10 A/W, respectively at the off state under AM 1.5 light illumination. The field effect mobility of the pentacene phototransistor was also found to be 2.96 cm2/Vs. The nanostructured surface of the gate possibly is the cause of the high-mobility value of the phototransistor due to light scattering from the increased surface area.  相似文献   

2.
Epitaxial Ba0.6Sr0.4TiO3 (BST) thin films were deposited on LaAlO3 (LAO) substrates with the conductive metallic oxide La0.5Sr0.5CoO3 (LSCO) film as a bottom electrode by pulsed laser deposition (PLD). X-ray diffraction ~2 and Ф scan showed that the epitaxial relationship of BST/LSCO/LAO was [001] BST//[001] LSCO//[001] LAO. The atomic force microscope (AFM) revealed a smooth and crack-free surface of BST films on LSCO-coated LAO substrate with the average grain size of 120 nm and the RMS of 1.564 nm for BST films. Pt/BST/LSCO capacitor was fabricated to perform CapacitanceVoltage measurement indicating good insulating characteristics. For epitaxial BST film, the dielectric constant and dielectric loss were determined as 471 and 0.03, respectively. The tunabilty was 79.59% and the leakage current was 2.6310-7 A/cm2 under an applied filed of 200 kV/cm. Furthermore, it was found that epitaxial BST (60/40) films demonstrate well-behaved ferroelectric properties with the remnate polarization of 6.085 C/cm2 and the coercive field of 72 kV/cm. The different electric properties from bulk BST (60/40) materials with intrinsic paraelectric characteristic are attributed to the interface effects.  相似文献   

3.
In this study, the interface trap density of metal-oxide-semiconductor (MOS) devices with Pr2O3 gate dielectric deposited on Si is determined by using a conductance method. In order to determine the exact value of the interface trap density, the series resistance is estimated directly from the impedance spectra of the MOS devices. Subsequently, the dispersion characteristics are numerically analyzed on the basis of a statistical model. Lastly, the process-dependent interface trap density of Pr2O3 is evaluated. It is concluded that high-pressure annealing and a superior quality interfacial SiO2 layer are of crucial importance for achieving a sufficiently low interface trap density.  相似文献   

4.
In this work, we investigated etching characteristics of BST thin films and higher selectivity of BST over Si using inductive coupled O2/Cl2/Ar plasma (ICP) system. The maximum etch rate of BST thin films and selectivity of BST over Si were 61.5 nm/min at a O2 addition of 1 sccm, 9.52 at a O2 addition of 4 sccm into the Cl2(30%)/Ar(70%) plasma, respectively. Plasma diagnostics was performed by Langmuir probe (LP), optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS). These results confirm that the increased etch rates at O2 addition of 1 sccm is the result of the enhanced chemical reaction between BST and Cl radicals and an ion bombardment effect.  相似文献   

5.
Annealing effects on electrical characteristics and reliability of MOS device with HfO2 or Ti/HfO2 high-k dielectric are studied in this work. For the sample with Ti/HfO2 higher-k dielectric after a post-metallization annealing (PMA) at 600 °C, its equivalent oxide thickness value is 7.6 Å and the leakage density is about 4.5 × 10−2 A/cm2. As the PMA is above 700 °C, the electrical characteristics of MOS device would be severely degraded.  相似文献   

6.
AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors (MOSHFETs) with Al2O3 gate oxide which was deposited by atomic layer deposition (ALD) were fabricated and their performance was then compared with that of AlGaN/GaN MOSHFETs with HfO2 gate oxide. The capacitance (C)-voltage (V) curve of the Al2O3/GaN MOS diodes showed a lower hysteresis and lower interface state density than the C-V curve of the HfO2/GaN diodes, indicating better quality of the Al2O3/GaN interface. The saturation of drain current in the ID-VGS relation of the Al2O3 AlGaN/GaN MOSHFETs was not as pronounced as that of the HfO2 AlGaN/GaN MOSHFETs. The gate leakage current of the Al2O3 MOSHFET was five to eight orders of magnitude smaller than that of the HfO2 MOSHFETs.  相似文献   

7.
The BaTiO3 xerogels, powders and ceramics were prepared through the sol-gel process using hexanedioic acid as surfactant. The xerogels, powders and ceramics were characterized by methods of thermal analysis, Fourier transform infrared spectroscopy, X-ray diffraction, scanning electron microscope, and transmission electron microscope. The dielectric properties of the ceramics were also measured. The results indicated that the powders calcined at 900 °C for 2 h were pure BaTiO3 phase partly consisted of the tetrahedron BaTiO3. The powders were nanometer scale particles. After sintering, the ceramics mainly consisted of the tetrahedron BaTiO3 phase. Compared with the powders prepared using hexanoic acid as surfactant, the particle size of the powders prepared using hexanedioic acid obviously increased, and as well as the grain size, the relative density and the whole permittivity of the ceramics increased. Furthermore, the forming process of the powders with better dispersibility and the influence of the carboxyl number on the particle size of the powders can be explained using the “organic acid micro-capsules” model. Relatively, the ceramic prepared using hexanedioic acid as the surfactant had higher relative density (96.6%), room temperature permittivity (3089) and lower dielectric loss (0.015).  相似文献   

8.
A Ge-stabilized tetragonal ZrO2 (t-ZrO2) film with permittivity (κ) of 36.2 was formed by depositing a ZrO2/Ge/ZrO2 laminate and a subsequent annealing at 600 °C, which is a more reliable approach to control the incorporated amount of Ge in ZrO2. On Si substrates, with thin SiON as an interfacial layer, the SiON/t-ZrO2 gate stack with equivalent oxide thickness (EOT) of 1.75 nm shows tiny amount of hysteresis and negligible frequency dispersion in capacitance-voltage (C-V) characteristics. By passivating leaky channels derived from grain boundaries with NH3 plasma, good leakage current of 4.8 × 10−8 A/cm2 at Vg = Vfb − 1 V is achieved and desirable reliability confirmed by positive bias temperature instability (PBTI) test is also obtained.  相似文献   

9.
利用高温固相法成功合成了非稀土类红色荧光粉 Mn4+:Li2TiO3,并对所制得的样品进行X射线衍射(XRD)、吸收谱和荧光发射谱等 表征。在波长为475nm的LED蓝光照射时,获得了最大强度位于〖J P 〗682nm波长处的红色荧光,量 子效率约为10%,其对应Mn4+自旋2Eg→4A 2g。计 算了晶体场强度因子Dq和Racah参数B、C,并据此分析了Mn4+在Li2TiO3中的电 子云重排效应。通 过改变掺杂浓度,分析了Mn4+掺杂在Li2TiO3中的浓度淬灭效 应。最后进行了LED白光性能 测试。  相似文献   

10.
The microwave dielectric properties of (1 − x)CaTiO3xNd(Mg1/2Ti1/2)O3 (0.1  x  1.0) ceramics prepared by the conventional solid state method have been investigated. The system forms a solid solution throughout the entire compositional range. The dielectric constant decreases from 152 to 27 as x varies from 0.1 to 1.0. In the (1 − x)CaTiO3xNd(Mg1/2Ti1/2)O3 system, the microwave dielectric properties can be effectively controlled by varying the x value. At 1400 °C, 0.1CaTiO3–0.9Nd(Mg1/2Ti1/2)O3 has a dielectric constant (εr) of 42, a Q × f value of 35 000 GHz and a temperature coefficient of resonant frequency (τf) of −10 ppm/°C. As the content of Nd(Mg1/2Ti1/2)O3 increases, the highest Q × f value of 43 000 GHz for x = 0.9 is achieved at the sintering temperature 1500 °C.  相似文献   

11.
The effect of ammonia gas on amorphous indium gallium zinc oxide thin film transistors is investigated. The ammonia is incorporated into the sputtered a-IGZO film during the deposition process. The results indicate that the sub-threshold swing of the NH3 incorporated TFTs is significantly improved from 2.8 to 1.0 V/decade, and the hysteresis phenomenon is also suppressed during the forward and reverse sweeping measurement. By X-ray photoelectron spectroscopy analyses, Zn-N and O-H bonds are observed in ammonia incorporated a-IGZO film. Therefore, the improvements in the electrical performance of TFTs are attributed to the passivation of dangling bonds and/or defects by ammonia.  相似文献   

12.
C60-based organic thin film transistors (OTFTs) with high electron mobility and high operational stability are achieved with (1 1 1) oriented C60 films grown by using template effects of diindenoperylene (DIP) under layer on the SiO2 gate insulator. The electron mobility of the C60 transistor is significantly increased from 0.21 cm2 V−1 s−1 to 2.92 cm2 V−1 s−1 by inserting the template-DIP layer. Moreover much higher operational stability is also observed for the DIP-template C60 OTFTs. A grazing incidence X-ray diffraction and ultrahigh-sensitivity photoelectron spectroscopy measurements indicate that the improved electron mobility and stability arise from the decreased density of trap states in the C60 film due to increased (1 1 1) orientation of C60-grains and their crystallinity on the DIP template.  相似文献   

13.
Novel gate stacks with epitaxial gadolinium oxide (Gd2O3) high-k dielectrics and fully silicided (FUSI) nickel silicide (NiSi) gate electrodes are investigated. Ultra-low leakage current densities down to 10–7 A cm–2 are observed at a capacitance equivalent oxide thickness of CET=1.8 nm. The influence of a titanium nitride (TiN) capping layer during silicidation is studied. Furthermore, films with an ultra-thin CET of 0.86 nm at a Gd2O3 thickness of 3.1 nm yield current densities down to 0.5 A cm−2 at Vg=+1 V. The extracted dielectric constant for these gate stacks ranges from k=13 to 14. These results emphasize the potential of NiSi/Gd2O3 gate stacks for future material-based scaling of CMOS technology.  相似文献   

14.
Al2O3, HfO2, and composite HfO2/Al2O3 films were deposited on n-type GaN using atomic layer deposition (ALD). The interfacial layer of GaON and HfON was observed between HfO2 and GaN, whereas the absence of an interfacial layer at Al2O3/GaN was confirmed using X-ray photoelectron spectroscopy and transmission electron microscopy. The dielectric constants of Al2O3, HfO2, and composite HfO2/Al2O3 calculated from the C-V measurement are 9, 16.5, and 13.8, respectively. The Al2O3 employed as a template in the composite structure has suppressed the interfacial layer formation during the subsequent ALD-HfO2 and effectively reduced the gate leakage current. While the dielectric constant of the composite HfO2/Al2O3 film is lower than that of HfO2, the composite structure provides sharp oxide/GaN interface without interfacial layer, leading to better electrical properties.  相似文献   

15.
Accumulation-type GaN metal-oxide-semiconductor field-effect-transistors (MOSFET’s) with atomic-layer-deposited HfO2 gate dielectrics have been fabricated; a 4 μm gate-length device with a gate dielectric of 14.8 nm in thickness (an equivalent SiO2 thickness of 3.8 nm) gave a drain current of 230 mA/mm and a broad maximum transconductance of 31 mS/mm. Owing to a low interfacial density of states (Dit) at the HfO2/GaN interface, more than two third of the drain currents come from accumulation, in contrast to those of Schottky-gate GaN devices. The device also showed negligible current collapse in a wide range of bias voltages, again due to the low Dit, which effectively passivate the surface states located in the gate-drain access region. Moreover, the device demonstrated a larger forward gate bias of +6 V with a much lower gate leakage current.  相似文献   

16.
New ZrO2/Al2O3/ZrO2 (ZAZ) dielectric film was successfully developed for DRAM capacitor dielectrics of 60 nm and below technologies. ZAZ dielectric film grown by ALD has a mixture structure of crystalline phase ZrO2 and amorphous phase Al2O3 in order to optimize dielectric properties. ZAZ TIT capacitor showed small Tox.eq of 8.5 Å and a low leakage current density of 0.35 fA/cell, which meet leakage current criteria of 0.5 fA/cell for mass production. ZAZ TIT capacitor showed a smaller cap leak fail bit than HAH capacitor and stable leakage current up to 550 °C anneal. TDDB (time dependent dielectric breakdown) behavior reliably satisfied the 10-year lifetime criteria within operation voltage range.  相似文献   

17.
Indium sulfide (InzS3) thin films were prepared by chemical spray pyrolysis technique from solutions with different [S]/[In] ratios on glass substrates at a constant temperature of 250 ~C. Thin films were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), energy disper- sive X-ray spectroscopy (EDS), Raman spectroscopy and optical transmittance spectroscopy. All samples exhibit a polycrystalline structure with a preferential orientation along (0, 0, 12). A good stoichiometry was attained for all samples. The morphology of thin film surfaces, as seen by SEM, was dense and no cracks or pinholes were ob- served. Raman spectroscopy analysis shows active modes belonging to j3-1naS3 phase. The optical transmittance in the visible range is higher than 60% and the band gap energy slightly increases with the sulfur to indium ratio, attaining a value of 2.63 eV for [S]/[In] : 4.5.  相似文献   

18.
Pentacene-based transistors produced by a novel neutral cluster beam deposition method were characterized, and the effects of the surface pretreatments were examined. Atomic force microscopy and X-ray diffraction showed that the cluster beams were quite efficient in growing high-quality, crystalline thin films on SiO2 substrates at room-temperature without any thermal post-treatment, and that an amphiphilic surfactant, octadecyltrichlorosilane (OTS), enhances the packing density and crystallinity significantly. The observed field-effect mobilities (μeff) were among the best reported thus far: 0.47 and 1.25 cm2/Vs for the OTS-untreated and -pretreated devices, respectively. The device performance was found to be consistent with the estimated trap density and activation energy, which were derived from the transport characteristics for the temperature dependence of μeff in the range of 10−300 K.  相似文献   

19.
In this study, high-pressure oxygen (O2 and O2 + UV light) technologies were employed to effectively improve the properties of low-temperature-deposited metal oxide dielectric films and interfacial layer. In this work, 13 nm HfO2 thin films were deposited by sputtering method at room temperature. Then, the oxygen treatments with a high-pressure of 1500 psi at 150 °C were performed to replace the conventional high temperature annealing. According to the XPS analyses, integration area of the absorption peaks of O-Hf and O-Hf-Si bonding energies apparently raise and the quantity of oxygen in deposited thin films also increases from XPS measurement. In addition, the leakage current density of standard HfO2 film after O2 and O2 + UV light treatments can be improved from 3.12 × 10−6 A/cm2 to 6.27 × 10−7 and 1.3 × 10−8 A/cm2 at |Vg| = 3 V. The proposed low-temperature and high pressure O2 or O2 + UV light treatment for improving high-k dielectric films is applicable for the future flexible electronics.  相似文献   

20.
通过微波辅助法制备出高活性H1-xSr2Nb3-xMoxO10光催化材料,制备过程和时间均被大大缩短。采用X射线粉末衍射(XRD)、扫描电镜(SEM)、紫外-可见吸收吸收光谱(UV-Vis DRS)等表征其材料性能。考察了催化材料在40W汞灯辐照下催化降解甲基橙的催化性能。实验结果表明,MoO3的掺入量为15%(摩尔分数)时,材料的光催化性能最优。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号