首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

2.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

3.
Deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF6 and SF6/Ar plasmas in an inductively coupled plasma (ICP) reactor. Electroplated Ni on Cu (≅50 nm)/Cr (≅100 nm)/glass structure using patterned SU-8 photoresist mask with a line spacing of 12-15 μm was used as a hard-mask for plasma etching. Plasma etching of borosilicate glass was performed by varying the various process parameters such as the gas chemistry, the gas flow ratio, the top electrode power, and the dc self-bias voltage (Vdc). In the case of using SF6 gas only, the profiles of the etched channel showed the undercut below the Ni hard-mask due to a chemical etching and the microtrenching at the bottom of the etched channel. An optimized process using the SF6 plasmas showed the glass etch rate of ≅750 nm/min. The addition of the Ar gas to the SF6 gas removed the undercut and microtrenching but decreased the etch rate to ≅540 nm/min. The increasing and decreasing time-dependent etch rates with the etch depth in the SF6 (200 sccm) and SF6(60%)/Ar(40%) plasmas, respectively, were ascribed to the different ion-to-neutral flux ratios leading to the different etch process regime.  相似文献   

4.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

5.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

6.
In this work, we investigated etching characteristics of BST thin films and higher selectivity of BST over Si using inductive coupled O2/Cl2/Ar plasma (ICP) system. The maximum etch rate of BST thin films and selectivity of BST over Si were 61.5 nm/min at a O2 addition of 1 sccm, 9.52 at a O2 addition of 4 sccm into the Cl2(30%)/Ar(70%) plasma, respectively. Plasma diagnostics was performed by Langmuir probe (LP), optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS). These results confirm that the increased etch rates at O2 addition of 1 sccm is the result of the enhanced chemical reaction between BST and Cl radicals and an ion bombardment effect.  相似文献   

7.
Sol-gel-derived Ba0.65Sr0.35TiO3 (BST) thin films were etched in CF4/Ar/O2 plasma using magnetically enhanced reactive ion etching technology. The maximum etch rate of BST film is 8.47 nm/min when CF4/Ar/O2 gas mixing ratio is equal to 9/36/5. X-ray photoelectron spectroscopy analysis indicates the accumulation of fluorine-containing by-products on the etched surface due to their poor volatility, resulting in (Ba,Sr)-rich and (Ti,O)-deficient etched surface. Compared to the unetched counterparts, the etched Ba 3d5/2, Ba 3d3/2, Sr 3d5/2, Sr 3d3/2, Ti 2p3/2, Ti 2p1/2 and O 1s photoelectron peaks shift towards higher binding energy regions by amounts of 1.31, 1.30, 0.60, 0.79, 0.09, 0.46 and 0.50 eV, respectively. X-ray diffraction (XRD) analysis reveals that intensities of the etched BST (1 0 0), (1 1 0), (2 0 0) and (2 1 1) peaks are lowered and broadened. Raman spectra confirm that the Raman peaks of the etched film shift towards lower wave number regions with the values of 7, 6, 4 and 4 cm−1, and the corresponding phonon lifetimes are longer than those of the unetched film because of the plasma-induced damage. When the etched films are postannealed at 650 °C for 20 min under an O2 ambience, the chemical shifts of Ba 3d, Sr 3d, Ti 2p and O 1s peaks, the variations for atomic concentrations of Ba, Sr, Ti and O, and the Raman redshifts are reduced, while the corresponding XRD peak intensities increase. It is conceivable that the plasma-induced damage of the etched film could be partially recovered during the postannealing process.  相似文献   

8.
To avoid plasma induced erosion of chamber hardware, the application of remote plasma sources to activate the etch gases was introduced. We present results on the etch behaviour of titanium nitride (TiN) using mixtures of NF3, Cl2 and argon. The gas mixture was excited in a remote plasma source and then routed through a reaction chamber to study the etch behaviour of TiN samples which simulate the situation at the chamber walls. The dependency of the TiN etch rate on temperature, gas flow, composition and pressure was examined. While the temperature (studied in the range 25-300 °C) turned out to be the most sensitive parameter, the general etch rate was mainly dependent on the availability of atomic fluorine. Etch products and NF3/Cl2 dissociation have been monitored by quadrupole mass spectrometry and infrared spectroscopy. While NF3 showed a high decomposition up to 96%, chlorine decomposition was not observed. However the addition of chlorine increased the etch rates up to 260% in the low pressure/low temperature regime. Surface effects of chlorine addition are indicated by X-Ray Photoelectron Spectrometry and REM surface analysis.  相似文献   

9.
A Ge-stabilized tetragonal ZrO2 (t-ZrO2) film with permittivity (κ) of 36.2 was formed by depositing a ZrO2/Ge/ZrO2 laminate and a subsequent annealing at 600 °C, which is a more reliable approach to control the incorporated amount of Ge in ZrO2. On Si substrates, with thin SiON as an interfacial layer, the SiON/t-ZrO2 gate stack with equivalent oxide thickness (EOT) of 1.75 nm shows tiny amount of hysteresis and negligible frequency dispersion in capacitance-voltage (C-V) characteristics. By passivating leaky channels derived from grain boundaries with NH3 plasma, good leakage current of 4.8 × 10−8 A/cm2 at Vg = Vfb − 1 V is achieved and desirable reliability confirmed by positive bias temperature instability (PBTI) test is also obtained.  相似文献   

10.
This work reports the results on the influence of gas mixing ratio, gas pressure (0.26-3.3 Pa) and input power (400-900 W) on the Cl2/Ar plasma parameters in the planar inductively-coupled plasma reactor. The investigation combined plasma diagnostics by Langmuir probe and quadrupole mass-spectroscopy with plasma modelling given by the self-consistent global (0-dimensional) model with Maxwellian approximation for the electron energy distribution function. It was shown that, for the given range of experimental conditions, the model showed an outstanding agreement with the experiments and provided the near-to-adequate data on kinetics of plasma active species, their densities and fluxes.  相似文献   

11.
A simple and cost-effective approach is proposed as an alternative to conventional oxygen plasma treatment to modify surface property of Indium tin oxide (ITO) anode of a fluorescent organic light-emitting diode (OLED). This was achieved by treating the ITO anode in supercritical CO2 (SCCO2) fluids with hydrogen peroxide (H2O2). The SCCO2/H2O2 treatment yielded an ITO work function of 5.35 eV after 15 min treatment at 85 °C and 4000 psi, which was significant higher than 4.8 eV of the as-cleaned ITO surface and was slightly less than 5.5 eV of the ITO surface treated by oxygen plasma. The highest work function achieved was 5.55 eV after 45 min SCCO2/H2O2 treatment. The SCCO2/H2O2 treatment can be used to tailor the ITO work function through changing the operation pressure of the treatment. In addition, the correlated dependence of OLED performance on the ITO anodes with and without the treatments was investigated. The maximum power efficiency of 1.94 lm/W was obtained at 17.3 mA/cm2 for the device with 15 min SCCO2/H2O2 treatment at 4000 psi. This power efficiency was 19.3% and 33.8% higher than those of the oxygen plasma treatment and as-clean, respectively. The improvement in device efficiency by the SCCO2/H2O2 treatments can be attributed to enhanced hole injection and balance in charge carriers due to increased work function and surface energy of the ITO anodes.  相似文献   

12.
对使用CF4/Ar 混合气体刻蚀Al1.3Sb3Te的特性进行了研究。实验控制的参数是:气体流入刻蚀腔的速率,CF4/Ar 比例,O2的加入量,腔内压强以及加在底电极上的入射射频功率。总的气体流量是50sccm ,研究刻蚀速率与CF4/Ar的比例,O2加入量,腔内压强和入射射频功率的关系。最后刻蚀参数被优化。 使用优化的刻蚀参数CF4的浓度4%,功率300W,压强800mTorr,刻蚀速率达到70.8nm/min,刻蚀表面平整  相似文献   

13.
SiO2 ultrafine spheres are prepared by sol-gel method using tetraethylorthosilicate and ammonia as raw materials. CeO2-coated SiO2 (CeO2@SiO2) composite nanoparticles are also synthesized through chemical precipitation method. X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectrometer (XPS) and dynamic light scattering (DLS) are used to characterize the CeO2@SiO2 composite particles. Silicon wafer covered by thermal oxide film is polished by CeO2@SiO2 composite abrasives, and the polishing behavior of novel composite abrasives is characterized by atomic force microscope (AFM). The results indicate that the phases of the as-prepared CeO2@SiO2 composite particles are composed of cubic fluorite CeO2 and amorphous SiO2. CeO2@SiO2 composite particles have excellent spherical morphologies and uniform particle size of 150-200 nm. The particle size of CeO2 as shell is about 10 nm. After coating, the chemical state of SiO2 is changed due to the formation of Si-O-Ce bond. The root-mean-square (RMS) roughness within 10 × 10 μm2 area of thermal oxide film after polished by CeO2@SiO2 composite abrasives is 0.428 nm, and material removal rate can reach 454.6 nm/min.  相似文献   

14.
The reactive ion etching (RIE) of SiO2 in CF4 + H2 plasma is considered. The influence of activated polymer on the RIE rate of SiO2 in CF4 + H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that the increased surface coverage by CF2 radicals suppresses the RIE rate of SiO2 in CF4 + H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. At the same time, the activated polymer intensifies the polymerization reactions. The increased surface coverage by the polymer suppresses the RIE rate of SiO2 in CF4 + H2 plasma at later stages of the etching process.  相似文献   

15.
Dry plasma etching of sub-micron structures in a SiO2/Si/SiO2 layer system using Cr as a mask was performed in a fluorocarbon plasma. It was determined that the best anisotropy could be achieved in the most electropositive plasma. A gas composition yielding the desired SOI planar photonic crystal structures was optimized from the available process gases, Ar, He, O2, SF6, CF4, c-C4F8, CHF3, using DC bias data sets. Application of the c-C4F8/(noble gas) chemistry allowed fabrication of the desired SOI planar photonic crystal. The average etching rates for the pores and ridge waveguide regions were about 71 and 97 nm/min, respectively, while the average SiO2/Si/SiO2 to Cr etching selectivity for the ridge waveguide region was about 33:1 in case of the c-C4F8/90%Ar plasma with optimized parameters.  相似文献   

16.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

17.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

18.
We have investigated the etching properties of p- and n-type β-FeSi2 crystals grown from solution. Characteristic shapes of etch pits depending on the surface orientation was observed on the etched surface by using diluted hydrofluoric acid (5% of HF) and HF:HNO3:H2O=1:1:(2–8) solutions. However, the shapes of etch pits were independent of the conduction type and carrier density of the crystals. We also found the anisotropy of etch rates. The etch rates of the HF:HNO3:H2O=1:1:2 solution at 22°C were approximately 1.57, 1.43 and 1.09 μm/min on {1 1 1}, {1 0 0} and {0 0 1} faces of p-type β-FeSi2 crystals, respectively.  相似文献   

19.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

20.
In this article, the conduction mechanisms of metal-oxide-semiconductor with vacuum annealed Lanthana (La2O3) oxide film are investigated. Lanthana films with thicknesses of 3.5, 4.7, and 11 nm were deposited by E-beam evaporation on n-Si (100), and annealed at various temperatures (300-500 °C) in ultra-high vacuum (10−10-10−9 Torr) for 90 min. From the measurement of spectroscopic ellipsometry, it is found that film thickness is increased with annealing temperature, which would be cause of flat-band voltage shift (ΔVFB) due to the growth of interfacial layer. From the capacitance measurement, it is found that ΔVFB of the film is reduced by post-deposition anneal (PDA) compared to that of as-deposited film, but increase again at high temperature annealing, especially in the case of thin film (3.5 nm). From the applied voltage and temperature dependence of the leakage current of the film, with different gate electrode materials (Ag, Al, and Pt), it is shown that the leakage currents are associated with ohmic and Poole-Frenkel (P-F) conductions when flat-band voltage (VFB) is less than zero, and ohmic and Space-Charge-Limited Current (SCLC) conductions when VFB is greater than zero. The dielectric constants obtained from P-F conduction for Al gate electrode case is found to be 11.6, which is consistent with the C-V result 11.9. Barrier height of trap potential well is found to be 0.24 eV from P-F conduction. Based on SCLC theory, leakage currents of 3.5 and 11 nm films with different PDA temperatures are explained in terms of oxide trap density.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号