首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

2.
The use of low-k materials is essential for improving the quality of integrated circuits. Subsequent process steps may however modify this film to the extent that the final result is unacceptable. Organosilicate-based low-k films, with a nominal k-value of 2.3, were exposed to different post-CMP cleaning plasmas used for copper reduction. The resulting plasma damage was investigated and is reported in this paper. All the studied plasmas increased the density of the low-k film. TOFSIMS and FTIR analyses showed that they all removed CH3 groups from the bulk, leading to water incorporation. The carbon depletion was more pronounced and deeper (100 nm) from a NH3 plasma than from any other investigated plasma. N2 + H2 plasma removed somewhat less carbon from the low-k film (83 nm deep). The N2 plasma removed carbon down to a depth of 60 nm into the film, while a pure H2 plasma removed the least carbon of all the investigated plasmas, to a depth of only 35 nm. The combination of TOFSIMS and XPS indicated the incorporation of a significant amount of N in the films treated with the pure N2 plasma. C-V measurements showed an increase of the dielectric constant, again mostly for the NH3 plasmas. There was an intermediate and approximately equal increase of the dielectric constant for all N2 containing plasmas, and the least increase was for the H2 plasma. This increase of the dielectric constant was caused by the increase of density of the film, incorporation of water, and in the case of the N2 plasma also the incorporation of N. This shows that the presence of N2 in plasma may significantly damage low-k materials, and it should not therefore be treated as a mere carrier gas.  相似文献   

3.
Chemical vapor deposited (CVD) low-k films using tri methyl silane (3MS) precursors and tetra methyl cyclo tetra siloxanes (TMCTS) precursors were studied. Films were deposited by means of four processes, namely, O2, O2 + He process and CO2, CO2 + O2 process for 3MS and TMCTS precursors, respectively. Interfacial adhesion energy (Gc), of low-k/Si samples, as measured by a 4-point bending test displayed a linear relationship with film hardness and modulus. Fractography studies indicated two possible failure modes with the primary interface of delamination being either at low-k/Si or Si/epoxy interface. In the former, once delamination initiated at the low-k/Si interface, secondary delamination at the Si/epoxy and epoxy/low-k interfaces was also observed. Films with low hardness (<5 GPa) displayed a low Gc (<10 J/m2) with an adhesive separation of Si/epoxy, epoxy/low-k, and low-k/Si interfaces. Whereas, films of high hardness (>5 GPa) displayed interfacial energies in excess of 10 J/m2 with separation of Si/epoxy and epoxy/low-k interfaces, thus indicating excellent adhesion between the Si and low-k films. Films with high hardness have less carbon in the system causing it to be more “silicon dioxide” like and exhibiting better adhesion with the Si substrate.  相似文献   

4.
A unique test structure based on a metal-insulator-semiconductor planar capacitor (Pcap) design was used to investigate several aspects of metal barrier-induced low-k damage. A special term called Effective Damage Thickness was introduced to describe the degree of damage. Ta(N) barrier was deposited on various dielectric films with porosity up to 32%. It has been found that the Effective Damage Thickness increases as the porosity increases. The damage is influenced more by the porosity of low-k films than the film density. Furthermore, the damage was modulated by Ta(N) deposition conditions. More damage was observed when higher target and/or substrate bias power was used, suggesting that the ion energy of the barrier material plays an important role in the low-k damage mechanism. A same degree of damage was observed for Ta barrier as for Ta(N), suggesting that Ta(N) deposition-induced low-k damage was primarily caused by Ta ions not nitrogen. Impact of Ru(Ta) and Cu(Mn) self forming barrier on low-k damage was also investigated. Among all the barriers studied in this work, the Ta-based barriers caused the most damage while the Cu(Mn) self forming barrier had the least damage to the low-k. The atomic masses for Ta, Ru, and Cu are 181, 101, and 64, respectively, corresponding with the observed degree of damage in the low-k material.  相似文献   

5.
The etching damage on nano-clustering silica (NCS) film due to etching radicals was investigated using a method of radical treatments in RIE plasma. NCS coated-side of the wafer was turned downward and put at 0.65 mm above the wafer stage to investigate only the influence of radicals. Etching radicals, which comes from CF4, diffuse into NCS film and reduce Si-CH3 bonds and Si-CH3 loss is proportional to the amount of diffused fluorine in NCS film. Several Si-CH3 bonds are converted to Si-F bonds then. As a result, the low-k performance is degraded and especially the leakage current heavily increases. We proposed a method for estimating the degree of the sidewall damage due to etching radicals using blanket wafers. The degree of sidewall damage is proportional to the value of CR−0.5, where C is the damage diffusion coefficient, which is derived from Si-CH3 decrement ratio from a radical treatment result and R is the etching rate, which is derived from a RIE treatment result under the same plasma condition. The value of CR−0.5 depends on the etching condition and must be decreased as much as possible in order to reduce the sidewall damage during RIE. For example, lower gas pressure, higher RF power, and higher CF4/Ar gas flow ratio were desirable for the sidewall damage reduction.  相似文献   

6.
Porogen residue (sp2 hybridized carbon) formed during UV curing of low-k materials increases leakage current and decreases breakdown voltage of low-k materials. The amount of porogen residue increases with increasing porosity of PECVD low-k films because of larger amount of co-deposited porogen. Electrical characteristics of PECVD ultra low-k films are significantly worse in comparison with CVD and SOG low-k film prepared without porogen. SOG low-k films prepared by self-assembling of nanocrystalline silica demonstrate very low leakage current. Removal of porogen residue significantly improves the electrical characteristics. Therefore, preparation of porogen residue free low-k films is an important challenge of future scaling of low-k materials.  相似文献   

7.
The modification of a SiOCH based low-k by oxygen plasma in a transformer coupled plasma (TCP) is reported. Modification of the film is studied as function of TCP power and time. Spectroscopic ellipsometry (SE) and Fourier transformed infrared absorption spectroscopy (FTIR) measurements are used for characterization. Both techniques show that the modification (damage) depth increases with increasing TCP power. Optical emission spectroscopy (OES) indicates that adding TCP power increases the O/O2+ ratio in the plasma. By means of FTIR and OES, evidence is found for the removal of hydrogen and carbon from the low-k during plasma exposure. Using a two-layer SE fitting model, and no TCP power a refractive index (RI) of 1.44 for the chemically altered top layer was found. This RI decreases with TCP power. Presumably, at increased TCP power, relatively more radicals are generated and they penetrate more easily because of a less dense top layer.  相似文献   

8.
This work investigates the etching characteristics of SiCOH low dielectric constant (low-k) films in the CHF3 13.56 MHz/2 MHz dual-frequency capacitively couple plasma (CCP). The effect of low-frequency (LF) power on etching behavior is analyzed. The results show that the increase of LF power can leads to a transition of etching behavior from films deposition to etching. By Fourier transform infrared (FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS) analysis on the etched SiCOH films and optical emission spectroscopy (OES) analysis on the plasma radicals, the transition behavior is found to relate to the suppression of C:F deposition due to the energetic ions sputtering and the increase of F concentration at higher LF power.  相似文献   

9.
Surface hydrophilisation and effective k-value degradation have been reported in literature after direct-CMP of high porosity SiOC films (without a protective capping layer). In the sequel, attempts to restore ultra low-k (ULK) material initial properties after a standard CMP and post-CMP cleaning process are reported. Annealing treatment has shown to be valuable to remove residual organics and water absorbed at the ultra low-k material surface after direct-CMP. However, as the hydrophilicity of the polished surface remains unchanged, it does not prevent moisture uptake, leading to an increase in k-value with time. Therefore, in order to restore hydrophobic properties and to stabilize the surface in time, three silylating agents - containing chlorosilane reactive groups (-SiMenCl3−n) as well as hydrophobic methyl functions (-CH3) in their structure - have been employed in liquid, gas or dense CO2 phases on the CMP-induced damaged ULK layers. While each of these organic treatments is efficient to restore hydrophobicity on post-CMP ULK surfaces, only one of them proved to be able to keep the k-value low (comparable to the ULK pristine k-value) and stable in time, without inducing significant change in porosity of the ULK material.  相似文献   

10.
This article describes less explored solutions to improve interconnect performance without changing established steps (etch, strip, clean, CMP) in a sub-100 nm integration route. Process conditions of the porogen-based low-k are adjusted by (1) varying the curing time (2) adding a thermal anneal step prior to CuO reduction or (3) depositing a capping layer on top of the low-k after curing. The low-k material examined in this study is Aurora® ELK HM (k ∼ 2.5).The integration process was robust against these variations, showing good electrical yield for all process splits. RC-product was improved when using a shorter curing time and when an anneal step prior to CuO reduction was performed. The use of a thicker capping layer decreased capacitance, showing an improved protection against damage.  相似文献   

11.
The capabilities of energy filtered TEM and EELS/EDS in STEM mode are studied in order to determine the thickness and composition of the sidewall damage layer induced by different plasma patterning processes on a silica-based (SiOC:H) porous material. Concentration profiles are calculated from the obtained energy filtered elemental maps. The sidewall damage layer, typically less than 20 nm thick, has a lower carbon content than the bulk of the low-k layer what leads to an increase of the interline capacitance. In addition to the damage layer, a few nanometers thick carbon rich sidewall polymer layer is observed for some patterning plasma processes. Moreover the bulk composition of the porous low-k layer depends slightly on the used plasma process indicating that not only the sidewalls but also the low-k layer bulk is affected by the process.  相似文献   

12.
The surface acoustic waves (SAWs) technique is becoming an attractive tool for accurately and nondestructively characterizing the mechanical property of the fragile low dielectric constant (low-k) thin film used in the advanced ULSI multi-layer interconnects. The dispersion features of SAWs propagating on the layered structure of low-k/SiO2/Si substrate and low-k/Cu/Si substrate are investigated in detail. The influence of the film thickness on the dispersion curvature is provided as an instruction for an accurate and facile fitting process. Numerical results indicate that the mechanical property of low-k films is expected to determine effectively when the broadband frequency is up to 300 MHz.  相似文献   

13.
Young’s moduli of porous silica low-k films with cesium (Cs) doping are determined by surface acoustic waves (SAWs) in this study. Four low-k samples doped with 0-30 ppm wt% Cs in the precursor solution are investigated to check the mechanical promotion of the porous silica films. The SAW determination process is performed on these ultra-thin porous films. The detected signals with the signal-to-noise ratio of 50:1 are achieved in our measurements. The signal process with combination of wavelet and FIR filter is proposed to effectively restrain the high and low frequency noises and the “Gibbs effect” of the detected signals. The smooth experimental dispersive curves with frequency range from 20 to 150 MHz, which is qualified for the data fitting process with the theoretical dispersion curves, are obtained for these detected thin low-k films. The determination results show that the mechanical property is improved with the pretreatment of cesium doping, which confirms that the degree of siloxane cross-linkage of the porous silica film is promoted by cesium doping.  相似文献   

14.
The degradation of reliability for intra-level voltage-breakdown in the 45 nm generation node has become an increasingly important issue with the introduction of porous low-k dielectrics. The dominant failure mechanism for lower voltage ramping-up to dielectric breakdown and higher leakage current was that more electrons easily transported through the percolation path in intra-level porous low-k interconnections damaged from HF corrosion. An optimal ultraviolet curing process and a less NH3 plasma pre-treatment on porous low-k dielectrics before the SiCN capping layer are developed to improve performance in both of these cases. The stiff configuration of the reconstruction of Si-O network structures and less HF corrosion is expected to have high tolerance to electrical failure. As a result, the proposed model of this failure facilitates the understanding of the reliability issue for Cu/porous low-k interconnections in back-end of line (BEOL) beyond 45 nm nodes.  相似文献   

15.
We review test vehicles and methods that are commonly used for capacitance measurements of low-k films and the general procedure for k-value extractions. We demonstrate that a considerable loss of accuracy may occur if metal-insulator-semiconductor (MIS) planar capacitors are used in high frequency (HF) capacitance-voltage (CV) measurements leading to significant underestimation of the k-value. We show that the lack of accuracy is due to parasitic impedance at the backside connection with the Si substrate and we provide a model. The effect of the parasitic impedance can be minimized by reducing the area of the gate electrode. Alternatively, samples can be provided with an ohmic back contact by means of one of the practical fabrication methods that are described. Quasi-static (Q-S) CV measurements did not exhibit any variation related to backside connection. However, we show that Q-S CV measurements loose accuracy for plasma-damaged low-k films because of increased dielectric leakage. Finally, issues related to capacitance measurements in dry atmosphere are addressed. We show that long (∼hours) transients can take place for plasma-damaged low-k films because of the slow release of water from the material underneath the metal gate, which acts as a cap. As a consequence, extracted k-value can significantly depend on sample resident time in the measurement chamber and on gate dimensions.  相似文献   

16.
For 45 nm and beyond microelectronics technology nodes, the integration of porous low dielectric constant (low-k) materials is now required to reach integrated dielectric constant values lower than 2.7. However, porous low-k materials have lower mechanical strength in comparison with traditional dense materials and are also affected by chemical diffusion through the interconnected porosity during the various integration processes. Different types of plasma post-treatments which lead to surface modification of the porous low-k material with possible formation of a top surface layer, change of surface structure and “pore sealing” effect were applied. Highly sensitive instruments for mechanical investigation of thin layers, such as the Ultra Nano Hardness Tester (UNHT) and Nano Scratch Tester (NST) were applied for characterization of the effect of the plasma post-treatments on the mechanical behavior of a porous low-k material. Preliminary results are presented and discussed in this paper.  相似文献   

17.
This work proposes an extended model that describes the propagation of damage in porous low-k material exposed to a plasma. Recent work has indicated that recombination and diffusion play a more dominant role than VUV light [1], [2], [3], [4] and [5] in oxygen plasma induced damage. Especially at low depths, the radical concentration is determined by the number of radicals that disappear back into the plasma while the final depth of damage is defined by recombination of oxygen atoms. A logarithmic equation has been proposed to describe the behavior as a function of time. In this work this equation is extended to take diffusion into account, next to recombination. The results are in agreement with experimental data and one-dimensional random walk theory calculations.  相似文献   

18.
A 60-μm bond-pad-pitch wire-bonding process was developed using test dies with a SiO2 dielectric layer under aluminium pads, and was then fine-tuned for a low-k device using three types of gold wires with different mechanical properties. Bulk material hardness of the wires were characterised using a wire-bonding machine, the force applied and diameters of squashed free-air balls. It was found that stiffer wires needed higher ultrasonic-generator (USG) power than a softer wire to deform the ball after impact and achieve equivalent ball size and ball shear responses. Longer bond time was also needed for the low-k material than the SiO2 material, to overcome the energy loss due to the compliance of the low-k material. Pad damage on the low-k device was proportional to bulk material hardness. The soft 4N (99.99% purity) wire required lower USG power to achieve the bonding specification, and was the most suitable wire to be used in wire bonding of the low-k device.  相似文献   

19.
20.
Aurora®ELK films were fabricated by PE-CVD of a SiCOH matrix precursor and an organic porogen material. The porogen material is removed during a subsequent thermally assisted UV-cure step with a short wavelength UV-lamp (λ < 200 nm). This results in film thickness shrinkage of 13.2% and a robust low-k film with k-value ∼ 2.3, elastic modulus ∼5.0 GPa and intrinsic film stress ∼59 MPa. The microscopic film properties during UV-cure were evaluated by FT-IR. A decrease in the CHx peak area is related to the porogen removal from the film resulting in a reduced dielectric constant. The decrease of the Si-CH3 peak and increase in the SiO network area are associated to the network restructuring and increase in elastic modulus. The nature of the Si-H peaks which appear during UV-cure has to be investigated carefully to determine their impact on film reliability. The dielectric diffusion barrier can work as an UV absorption layer which reduces UV-curing of underlying layers and possible UV reflections on interfaces. The SiCN/A-SiCO diffusion barrier film properties during UV-cure show a decrease in k-value, increase in intrinsic film stress and a slight increase in leakage. More research is needed to evaluate the impact of porogen removal by UV-cure on BEOL integration.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号