首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The effects of prolonged annealing (10 h) at low temperature (500°C) have been studied in 20-nm Ni/Si (100) thin films using Rutherford backscattering spectroscopy (RBS), x-ray diffraction (XRD), scanning electron microscopy (SEM) in conjunction with energy-dispersive spectrometry (EDS), and four-point probe techniques. We observe that nickel monosilicide (NiSi) is stable up to 4 h annealing at 500°C. It is also found that, after 6 h and 10 h annealing, severe agglomeration sets in and NiSi thin films tear off and separate into different clusters of regions of NiSi and Si on the surface. Due to this severe agglomeration and tearing off of the NiSi films, sheet resistance is increased by a factor of 2 despite the fact that no NiSi to NiSi2 transition occurs. It is also observed that, with increasing annealing time, the interface between NiSi and Si becomes rougher.  相似文献   

2.
We investigated the relationship between thermal stability of NiSi films and the implanted dopant species on Si substrates. The most stable NiSi layer appeared on Boron-implanted Si substrate, where the formation of pseudo-epitaxial transrotational structure was observed, just in case that the dose of boron is more than 5e15 atoms/cm2. This unique crystallographic orientation of NiSi film on Boron-implanted substrate is a key role of thermal stability because thermal stress at grain boundary can be diminished by peculiar arrangement of transrotational domains, owing to the anisotropy in coefficient of thermal expansion (CTE) of NiSi.  相似文献   

3.
The effect of a thin Hafnium interlayer on the thermal stability of NiSi film has been investigated. Both X-ray diffraction and Raman spectra show that no high resistivity NiSi2 appears in the Hf-additioned films which were post-annealed at temperatures ranging from 600 °C to 800 °C. Auger electron spectroscopy and Rutherford back scattering show that the Hf interlayer has moved to the top of the film after rapid thermal annealing, working as the diffusion barrier for upper Ni atoms. The three-dimensional surface morphology by atom force microscopy shows that the agglomeration of NiSi is effectively suppressed, which is attributed to the barrier effect of the Hf interlayer. The fabricated Ni(Hf)Si/Si Schottky diodes still displays good current-voltage characteristics even after annealed at temperatures varied from 650 °C to 800 °C, which further show that the Hf interlayer can improve the thermal stability of NiSi.  相似文献   

4.
The thermal stability of fully silicided (FUSI) NiSi with arsenic or boron doping on silicon on insulator (SOI) was investigated. After the stacks were subjected to a typical back-end of line (BEOL) thermal annealing in a N2 ambient, abnormal oxidation of As doped FUSI NiSi stacks is observed by X-ray photoelectron spectroscopy (XPS), and confirmed by high-resolution transmission electron microscopy (HRTEM). X-ray diffraction (XRD) results show Ni-rich phases like Ni3Si are formed due to abnormal oxidation of FUSI NiSi. In contrast to As doped stacks, no phase transformation nor abnormal oxidation are observed for B doped stacks under similar annealing. However, backside secondary ion mass spectrometry (SIMS) results indicate B penetration through a 3 nm SiON layer into the Si channel after N2 annealing for 4 h at 400 °C. There is no evidence for Ni diffusion into the Si channel for B doped stacks. However, Ni penetration into the Si channel is observed for As doped stacks due to the enhancement of abnormal oxidation of FUSI NiSi.  相似文献   

5.
The thermal and electrical stabilities of Cu contact on NiSi substrate with and without a Ta/TaN barrier stack in between were investigated. Four-point probe (FPP), X-ray diffraction (XRD), scanning electron microscopy (SEM), depth-profiling X-ray photoelectron spectroscopy (XPS), and Schottky barrier height (SBH) measurement were carried out to characterize the diffusion barrier properties. The SBH measurement provides a very sensitive method to characterize the diffusion barrier properties for the copper contact on NiSi/Si. The results show that the Ta/TaN stack can be both thermally and electrically stable after annealing at 450 °C for 30 min and it will have a potential application as a diffusion barrier for Cu contact on NiSi.  相似文献   

6.
In this paper, the 5 nm ZrN diffusion barrier was deposited by high vacuum magnetron sputtering method on Si substrate and the 300 nm Cu(Zr) alloy film or Cu film was sputtered on ZrN barrier without break vacuum. The self-formation graded Zr/ZrN diffusion barrier was obtained by annealing Cu(Zr)/ZrN bilayer system in N2/H2 (10% H2) atmosphere. The X-ray diffraction (XRD) and four-point probe method were used to study graded Zr/ZrN diffusion barrier. The results revealed that the self-formation Zr barrier and ZrN barrier all obviously improved the thermal stability of Cu/Si system.  相似文献   

7.
It is reported that the thermal stability of NiSi is improved by employing respectively the addition of a thin interlayer metal (W, Pt, Mo, Zr) within the nickel film. The results show that after rapid thermal annealing (RTA) at temperatures ranging from 650 °C to 800 °C, the sheet resistance of formed ternary silicide Ni(M)Si was less than 3 Ω/□, and its value is also lower than that of pure nickel monosilicide. X-ray diffraction (XRD) and raman spectra results both reveal that only the Ni(M)Si phase exists in these samples, but the high resistance NiSi2 phase does not. Fabricated Ni(M)Si/Si Schottky barrier devices displayed good I-V electrical characteristics, with the barrier height being located generally between 0.65 eV and 0.71 eV, and the reverse breakdown voltage exceeding to 40 V. It shows that four kinds of Ni(M)Si film can be considered as the satisfactory local connection and contact material.  相似文献   

8.
Ultrathin Ru-Ti alloy, Ru-N and Ru-Ti-N films were fabricated as diffusion barriers to Cu metallization. The thermal stability, phase formation, surface morphology and atomic depth profile of the Cu/Ru-Ti(10 nm)/Si, Cu/Ru-N(10 nm)/Si and Cu/Ru-Ti-N(10 nm)/Si structures after annealing at different temperatures were investigated. Comparing to the single Ru layer, both N doping and Ti alloying improve the thermal stability and diffusion barrier properties to Cu. The Cu on the Ru-Ti layer has better morphology than Cu on the Ru-N layer, while the Ru-Ti-N layer has the best thermal stability and has great potential to be applied as a single layer diffusion barrier.  相似文献   

9.
Electrical and structural properties of Ni silicide films formed at various temperatures ranged from 200 °C to 950 °C on both heavily doped n+ and p+ Si substrates were studied. It was found that surface morphology as well as the sheet resistance properties of the Ni silicide films formed on n+ and p+ Si substrates at the temperatures higher than 600 °C were very different. Agglomerations of Ni silicide films on n+ Si substrates begin to occur at around 600 °C while there is no agglomeration observed in Ni silicide films on p+ Si substrates up to a forming temperature of 700 °C. It was also found that the phase transition temperature from NiSi phase to NiSi2 phase depend on substrate types; 900 °C for NiSi film on n+ Si substrate and 750 °C for NiSi film on p+ Si substrate, respectively. Our results show that the agglomeration is, especially, important factor in the process temperature dependency of the sheet resistance of Ni silicides formed on n+ Si substrates.  相似文献   

10.
The W-based diffusion barriers W, WC and WCN barriers were investigated for Cu metallization. The thermal stability of the W, WC and WCN barriers was compared by X-ray diffraction and four point probe. It shows comparable stability for the W and WC barriers while the ternary WCN barrier has superior performance. The agglomeration of the Cu films (100 nm) on these barriers is quite different. The formation of voids was observed for the annealed copper film on the WC or WCN barriers and the activation energy values determined from Kissinger equation are low comparing with Cu on W barrier. Twins were also observed in the as-deposited and annealed Cu films on the WC and WCN barriers. The twin formation and its correlation with void formation for Cu films onto the C-containing diffusion barrier were discussed through the stress relaxation and stress-induced vacancy migration mechanism.  相似文献   

11.
《Microelectronics Reliability》2014,54(11):2487-2493
The thermal stability of WTi and WTi(N) as diffusion barriers for Al and Cu metallization on Si (1 0 0) was investigated by time of flight secondary ion mass spectrometry (ToF-SIMS) depth profiling, X-ray diffraction (XRD), electron microscopy (SEM and TEM) and X-ray photoelectron spectroscopy (XPS). For both, Al and Cu, Ti diffusion out of WTi into the metal was proved to occur at elevated temperatures (400 °C for Al and 600 °C for Cu) which further results in barrier film failure. Nitrogen incorporation into WTi leads to an elimination of the Ti diffusion and consequently to a better thermal stability of the barrier film. It is shown that besides crystal structure, Ti diffusion into the metallization is an essential factor of the barrier failure mechanism. The failure temperature for Al is lower than for Cu.  相似文献   

12.
Ni(Pt~15 at%)Si/Si(100) and Ni(Pt~15 at%)SiGe/SiGe/Si(100) films corresponding to rapid thermal annealing (RTA1) temperatures of 220, 230 and 240 °C with constant RTA2 (at 420 °C) have been investigated for sub 20 nm devices. X-ray reflectometry (XRR), X-ray diffraction (XRD), four point probe, and atomic force microscopy (AFM) techniques were employed for the characterization of NiSi and NiSiGe films. XRR results indicated that NiSi and NiSiGe film thicknesses increased with RTA1 temperatures. NiSi films densities increased with layer thickness but NiSiGe films displayed an opposite trend. The diffractograms revealed that NiSi and NiSiGe layers contain identical phases and possessed fiber texture at 220 °C. Whereas, the peaks shift were observed for NiSi (211) and NiSi (021) at higher RTA1 temperatures which appear due to Pt diffusion (hexagonal structures of larger grain size were noted). NiSiGe crystallites self-alignment was observed because of strained SiGe/Si(100) substrate. At 240 °C, NiSiGe layer showed the smallest crystallites. This is believed to be due to Pt distributed along the silicide grain boundaries which obstructs silicide grain growth. NiSi and NiSiGe sheet resistance decreased significantly with increase in RTA1 temperatures and found to correlate with multiple grain orientation. AFM revealed a smooth-stable surface morphology for all films.  相似文献   

13.
The annealing conditions causing an irregular peak in sheet resistance of nickel silicides are investigated. It is found that the irregular rise in sheet resistance occurs at a critical temperature of 750-775 °C as a result of agglomeration related to phase transition from NiSi to NiSi2. Experiments on the effect of temperature, heating rate and annealing duration in rapid thermal annealing revealed that the high-resistance state produced by annealing at the critical temperature could not be changed by subsequent annealing at higher temperature, and that the high-resistance state required 30-40 s at the critical temperature to form. Pre-annealing at 600 °C was found to suppress the later formation of the high-resistance state.  相似文献   

14.
The thermal stability of Ti and Ti/Al thin barrier layers for Cu metallizations of surface acoustic wave (SAW) devices has been investigated by resistance measurements and analytical transmission electron microscopy (TEM) using energy dispersive analysis (EDX), energy filtered analysis (EFTEM) within a temperature range between RT and 300 °C. Due to the strong increase of the sheet resistance of the sample containing the Ti/Al-barrier, structural changes in the Al layer lead to a failure at 300 °C, whereas the other sample containing Ti only as a barrier layer did not show any obvious structural changes.  相似文献   

15.
The role of composition on the resistivity and thermal stability of reactively sputtered Ta-Si-N films have been studied using x-ray diffraction, Rutherford backscattering spectrometry, and sheet resistance measurement. Films with higher silicon to tantalum ratio were found to be more thermally stable and have higher sheet resistance than films with lower Si to Ta ratio. While Ta0.28Si0.07N0.65 starts to crystallize at about 900°C, for example, Ta0.24Si0.10N0.66, and Ta0.24Si0.12N0.64 remained amorphous and thermally stable for heat treatment up to 1100°C. In-situ sheet resistance measurement showed that the resistivity of the alloys varies with composition and decreases with temperature; films with higher Ta/Si ratio have lower resistivity. The resistivity of the films, at 30°C, was about 675 Θ-cm, 285 Θ-cm, and 135 Θ-cm and decreased to 61.5 Θ-cm, 22.5 Θ-cm, and 19.5 Θ-cm at 480°C for Ta0.24Si0.12N0.64, Ta0.24Si0.10N0.66, and Ta0.28Si0.07N0.651 in that order. Our results indicate that the composition of Ta-Si-N films could be manipulated to obtain low resistivity films that could be used in device applications.  相似文献   

16.
The thermal stability of Ni-silicides on tensily strained in situ P doped Si:C epitaxial layers was evaluated. The baseline Ni silicidation process was shown to be compatible with Si:C Recessed Source-Drain (RSD) stressors for NMOS strain engineering while the thermal stability of NiSi:C contacts was significantly improved compared to NiSi ones. Dominant degradation mechanism was shown to be the transition to the NiSi2:C phase. It was demonstrated that the Si:C strain level affects the silicide formation but has no significant effect on the NiSi:C thermal stability. A mechanism responsible for the improved thermal stability of NiSi:C silicides is discussed.  相似文献   

17.
The effect of a thin RuOx layer formed on the Ru/TiN/doped poly-Si/Si stack structure was compared with that on the RuOx/TiN/doped poly-Si/Si stack structure over the post-deposition annealing temperature ranges of 450–600°C. The Ru/TiN/poly-Si/Si contact system exhibited linear behavior at forward bias with a small increase in the total resistance up to 600°C. The RuOx/TiN/poly-Si/Si contact system exhibited nonlinear characteristics under forward bias at 450°C, which is attributed to no formation of a thin RuOx layer at the RuOx surface and porous-amorphous microstructure. In the former case, the addition of oxygen at the surface layer of the Ru film by pre-annealing leads to the formation of a thin RuOx layer and chemically strong Ru-O bonds. This results from the retardation of oxygen diffusion caused by the discontinuity of diffusion paths. In particular, the RuOx layer in a nonstoichiometric state is changed to the RuO2-crystalline phase in a stoichiometric state after post-deposition annealing; this phase can act as an oxygen-capture layer. Therefore, it appears that the electrical properties of the Ru/TiN/poly-Si/Si contact system are better than those of the RuOx/TiN/poly-Si/Si contact system.  相似文献   

18.
The effects of different surface preparations on NiPtSi thermal stability were studied. HF wet clean, argon sputter etch and remote plasma pre-clean were used as silicide pre-cleans prior to NiPt sputter deposition and subsequent silicidation on blanket and patterned Si wafers. NiPtSi was characterized using SIMS, ellipsometry, voltage contrast (ES25) testing and electrical performance measurements of 65 nm test structures. Results show that when an in situ remote plasma pre-clean is used in addition to a classical HF wet clean to remove native oxide from the Si substrate prior to NiPt deposition and silicidation, Rs uniformity and SRAM electrical performance as a function of thermal budget are significantly improved. Rs measurements of patterned wafers and SIMS analysis of blanket wafers strongly suggest that the absence of native oxide prior to NiPt deposition and the presence of fluorine at the NiPtSi/Si interface play a key role in improving NiPtSi thermal stability.  相似文献   

19.
Plasma immersion ion implantation (PIII) technique was employed to form Tantalum nitride diffusion barrier films for copper metallization on silicon. Tantalum coated silicon wafers were implanted with nitrogen at two different doses. A copper layer was deposited on the samples to produce Cu/Ta(N)/Si structure. Samples were heated at various temperatures in nitrogen ambient. Effect of nitrogen dose on the properties of the barrier metal was investigated by sheet resistance, X-ray diffraction and scanning electron microscopy measurements. High dose nitrogen implanted tantalum layer was found to inhibit the diffusion of copper up to 700 °C.  相似文献   

20.
为了提升Al/Zr多层膜的热稳定性,采用直流磁控溅射方法制备了18个带有不同厚度Si间隔层的Al(1 wt.%Si)/Zr多层膜,并将这些样品分别进行了不同温度(100~500 ℃)的真空退火,退火时间为1 h.利用X射线掠入射反射(GIXR)和X射线衍射(XRD)的方法来研究Si间隔层对Al/Zr多层膜热稳定性的作用.GIXR测量结果表明:随着Si间隔层厚度的增大,Al膜层的粗糙度减小,而Zr膜层的粗糙度增大;XRD测量结果表明:Al和Zr膜层粗糙度的变化是由于退火后膜层中晶粒尺寸不同造成的.相比于没有Si间隔层的Al/Zr多层膜,引入厚度为0.6 nm的Si间隔层可以有效提升Al/Zr多层膜的热稳定性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号