首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
This work brings forth the idea of incorporating insulation in the resist used for ultraviolet (UV) curing nanoimprint lithography (NIL). Carbon nanotubes (CNTs) are grown in the space between two insulated resist patterns on the conductive substrate to make CNTs arrays. Two imprinting processes, soft UV curing NIL with DRPPR process and novel NIL without cured residual resist, are presented to achieve the insulation patterns. First the fabricating process is performed using a polydimethylsiloxane (PDMS) stamp. Subsequently, inductively coupled plasma (ICP) is essential to wipe off the residual resist film. To avoid the ICP process, a novel UV curing NIL is presented. Its special hard quartz stamp with chrome shelter can protect the residual resist film out of curing during the UV exposure process, and the uncured resist can be easily removed by ultrasonic vibration in organic solutions. The CNT arrays are prepared on the patterned substrates by the pyrolysis of iron phthalocyanine (FePc). Field emission experiments reveal that the turn-on field of those CNTs arrays is low to 1.3 V/um.  相似文献   

2.
Nanoimprint lithography (NIL) is a promising candidate technology to fabricate patterned media for the next generation hard disk drives (HDD). The requirement of pattern pitch for the HDD or discrete-track recording (DTR) media will be as small as from 40 to 50 nm by 2011 or 2012. However not only to create such fine pitch but also long e-beam writing time such as 1 week with conventional high resolution resist ZEP520A are critical. This paper addresses the fabrication processes to combine silicon substrate and a new chemically amplified resist (CAR) for the master molds of this NIL. The e-beam writing speed with this new CAR was achieved over 3-times faster while 50 nm fine DTR patterns were demonstrated with rotary stage e-beam writer. Furthermore, the replication with J-FIL from the master mold into quartz working mold was also demonstrated.  相似文献   

3.
We reported the replication of sub-100 nm nanostructures by an ultraviolet (UV) nanoimprint lithography (NIL) technique. We used a novel UV curable epoxy siloxane polymer as the NIL resist to achieve features as small as 50 nm. The polymeric soft molds for the NIL were fabricated by casting toluene diluted poly(dimethyl-siloxane) (PDMS) on the hydrogensilsesquioxane (HSQ) hard mold. The NIL results were characterized by using a scanning electron microscope and an atomic force microscope. Our results illustrate that, with the epoxy siloxane resist, the 50 nm HSQ features on the hard mold can be successfully replicated using PDMS soft molds.  相似文献   

4.
Thanks to their outstanding electrical properties [1] and [2], carbon nanotubes (CNTs) are promising candidate to replace Cu in advanced interconnects [3], [4], [5], [6], [7] and [8]. In damascene based CNT via integration scheme, CNTs growth occurs on the whole surface of the wafers: in vias, but also on top surfaces [5]. CNTs on top are subsequently removed by polishing. In this paper, an alternative integration scheme is proposed which avoids CNTs on top. Thanks to careful choice of top surface (TiN) and bottom electrode (doped silicon) materials, CNT growth occurs only in vias. Dense growth (6 × 1011 CNTs/cm2) of small multi wall CNTs is achieved in vias over doped poly-silicon lines. Good encapsulation of CNTs is obtained with SACVD SiO2 or ALD Al2O3 materials. Thanks to polishing of emerging CNTs, planarized CNT vias are obtained. Initial electrical measurements by conductive AFM show the conductivity of these CNT vias.  相似文献   

5.
A novel liquid photo-polymerization resist was prepared for nanoimprint lithography on transparent flexible plastic substrates. The resist is a mixture of polymethylmethacrylate (PMMA), methylmethacrylate (MMA), methacylic acid (MAA) and two photo-initiators, (2-isopropyl thioxanthone (ITX) and ethyl 4-(dimethylamino)benzoate (EDAB)). The resist can be imprinted at room temperature with a pressure of 0.25 kg/cm2, and then exposed from the transparent substrate side using a broad band UV lamp to obtain nano- and micro-scale patterns. Replications of high-density line and space patterns with resolution of 150 nm were obtained on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The liquid resist has low viscosity due to the liquid monomers, and low shrinkage due to the addition of PMMA as a binder.  相似文献   

6.
A novel hybrid resist for UV nanoimprint lithography (UV‐NIL) based on the thiol–ene photopolymerization is presented. Our system comprises mercaptopropyl polyhedral oligomeric silsesquioxane and benzyl methacrylate, with trimethylolpropane trimethacrylate as the crosslinker. The obtained hybrid resists possess a variety of characteristics desirable for UV‐NIL, such as low viscosity (6.1–25 cP), low bulk‐volumetric shrinkage (5.3%), high Young's modulus (0.9–5.2 GPa), high thermal stability, and excellent dry‐etch resistance. Based on these performances, the optimized components are evaluated as UV‐NIL resists. The result is a high‐resolution pattern with feature sizes in the range of 100 nm to several microns. The double‐layer resist approach is used for pattern transfer into silicon substrates. The excellent oxygen‐etch resistance of the barrier material enables a final transfer pattern that is about three times higher than that of the original NIL mold.  相似文献   

7.
Thanks to their low surface energy, fluorinated anti-sticking layers are widely used in UV nanoimprint lithography (UV-NIL) to treat the mold and facilitate its separation from the imprinted resist. However, it has been reported that release properties of the stamp deteriorate with repeated imprint operations. In this paper, X-ray photoelectron spectroscopy is used to study the mechanism of the fluorinated treatment degradation. A specific experimental protocol is used in order to avoid further degradation under X-ray exposure. It has been observed that a large amount of fluorinated molecules are removed in the first imprint steps and deposited on the surface of the imprinted resist. After this first stage, we observed that fluorinated molecules are progressively degraded along their chain during the NIL process.  相似文献   

8.
UV-based nanoimprint lithography (UV-NIL) is a cheap and fast way to imprint patterns ranging from nanometres to micrometres. However, commonly used equipment can be expensive and require a clean room infrastructure. Here we present the design and testing of a simple UV-NIL system based on a light emitting diode. The current design permits imprints of 10 × 10 mm2 in size using a 25 × 25 mm2 master. This printer can be used in a semi-clean environment such as a laminar flow bench. The imprinter was used to imprint photoresists as well as UV sensitised hydrogels. The best results were obtained using SU-8 photoresist with features down to 50 nm in size, only limited by the imprint master. Patterns in SU-8 resist were also transferred into silicon substrates by reactive ion etching demonstrating its full potential as a lithographic tool.  相似文献   

9.
In this work, we demonstrate the fabrication of bilayer metal wire-grid polarizers and the characterization of their performance. The polarizers with 200 nm period were fabricated on flexible plastic substrates by nanoimprint lithography (NIL), followed by aluminum deposition. Transmission efficiency over 0.51 and extinction ratio higher than 950 can be achieved in the visible range when the aluminum thickness of the polarizer is 100 nm. The fabrication process only involves direct imprinting on flexible plastic substrates and aluminum deposition, without any resist spin-coating, lift-off, and etching processes, which is much simpler, less costly, and applicable to large volume production.  相似文献   

10.
We present a lithography process using electron beam lithography with an optical resist AZnLOF 2020 for pattern transfer. High-resolution 100 keV electron beam lithography in 400 nm layers of negative resist AZnLOF 2020 diluted 10:4 with PMGEA is realized. After the electron beam lithography process, the resist is used as a mask for reactive ion etching. We performed the transfer of patterns by RIE etching of the substrate allowing a final resolution of 100 nm. We demonstrate the patterning in an insulating layer, thus simplifying the fabrication process of various multilayer devices; proximity correction has been applied to improve pattern quality and also to obtain lines width according to their spacing. This negative resist is removed by wet etching or dry etching, could allow combining pattern for smallest size down to 100 nm by EBL techniques and for larger sizes by traditional lithography using photomask.  相似文献   

11.
In this paper we present a comparative study of two e-Beam Lithography (EBL) processes for Nanoimprinting Lithography (NIL) master mold, i.e. the standard PMMA based EBL Si patterning process and the HSQ process. 20 nm features with minimal sidewall roughness and high uniformity are demonstrated on large surface by using HSQ process. Moreover, to validate this ultra-high resolution HSQ EBL process and to check NIL resolution performances, soft UV-NIL replications were performed using soft hard-PDMS/PDMS bi-layer stamps casted on the HSQ master mold. We demonstrate the replication of sub-20 nm nanodots of high density (pitch 60 nm) with a good uniformity on the whole field area.  相似文献   

12.
《Microelectronic Engineering》2007,84(5-8):912-915
Three-dimensional (3D) resolution of inorganic resist pattern, which was exposed with control of acceleration voltage electron beam lithography (CAV-EBL) in low accelerating voltage was examined. The system can make features with varying developed-depths. Three-dimensional pattern with a few hundred nanometer linewidth was fabricated with a CAV-EBL. The pattern depths on inorganic resist were gradated with 5 nm depth-resolution per 30 V. By controlling the pattern depth, a seven stairs blade-shaped binary optics mold was fabricated, and then a replica pattern of the mold was made by using UV-NIL.  相似文献   

13.
Nanoimprint lithography (NIL) is an emerging technology that enables cost-effective and high-throughput nanofabrication. Nevertheless, there are some disadvantages to this method, especially for thermal NIL. A major disadvantage of thermal NIL is the thermal cycle, which requires a significant amount of processing time and limits the throughput. One method to overcome this disadvantage is to reduce the processing temperature. Accordingly, it is necessary to determine the effects on the processing parameters for thermal NIL at reduced temperatures and to optimize the parameters. This requires a clear understanding of the behavior of the polymer material during the thermal NIL process. This work focuses on a temperature range of Tg < T < Tg + 40 °C, in which the polymer displays a semi-molten state behavior; this temperature range is lower than conventionally used for thermal NIL. To understand how the processing conditions of temperature, pressure, pattern density, and initial thickness of the polymer resist are related to the quality of a nanoimprinted pattern, simulations of thin polymer films squeezing into nanocavities during thermal NIL were performed using a two-dimensional viscoelastic finite element analysis taking into account stress relaxation behaviors.  相似文献   

14.
The direct nanoimprinting of device patterns on functional resist has attracted increasing attention since it has the potential to extend nanoimprinting applications and improve productivity. Considerations of device-oriented functional resins are currently limited to optical or optoelectronic applications where the optical properties of the imprint resin can be selectively controlled. Either nanosilver particle dispersions or conductive polymers are candidate resins for the direct imprinting of electrode line patterns. In this paper, we describe direct ultraviolet (UV) imprinting using acrylate-based resin incorporating nanosilver colloid, with optimized post-imprinting steps involving heat treatment and wet etching. Resin mixtures with 40, 50, and 60 wt% of nanosilver loading were prepared, and UV imprinting was performed on the dispensed resin mixtures, followed by heat treatment to sinter the nanosilver particles and wet etching of the imprint residues. The pattern shrinkage that occurred during the post-imprinting steps reached 25–35%, and was strongly dependant on the nanosilver concentration. The electrical resistivity for 60 wt% Ag loading was roughly 2.5 times higher than that of bulk silver. In addition, surface texturing effects on the imprinted patterns were investigated using selective oxygen plasma etching.  相似文献   

15.
《Microelectronic Engineering》2007,84(5-8):945-948
The individual steps in fabrication of templates for UV-NIL processes are described. After spin coating a conductive copolymer (ESPACER 300) on top of the resist, insulating substrates have been structured by use of electron beam lithography at 20 keV beam energy. A three-dimensional (3D) pattern has been created in a low contrast positive tone resist PMMA 35k. By RIE in a CHF3 – O2 – process, the pattern has been transferred into the quartz substrate. Finally, the 3D structures have been replicated in a UV-NIL process.  相似文献   

16.
为了减少紫外纳米压印技术脱模过程中的接触粘附力,开发了一种新型高流动、抗粘的紫外纳米压印光刻胶。光刻胶以BMA为聚合单体,添加特定配比的交联剂和光引发剂配置而成。紫外纳米压印实验在本课题组自主研发的IL-NP04型纳米压印机上完成。实验得到光刻胶掩膜膜厚为1.21μm,结构尺寸深246nm,周期937.5nm。实验结果表明,在没有对石英模板表面进行修饰的情况下,该光刻胶依然表现出高可靠性和高图形转移分辨率,有效减少了紫外纳米压印工艺中的模板抗粘修饰的工艺步骤。  相似文献   

17.
Ultraviolet-nanoimprint lithography (UV-NIL) is a promising cost-effective method for defining nanoscale structures at room temperature and low pressure. To apply a large-area stamp to a high throughput step-and-repeat process at atmospheric conditions, we proposed a new UV-NIL process that uses an elementwise patterned stamp (EPS), which consists of elements separated by channels, and additive gas pressurization. The proposed UV-NIL process required just four imprints to press an 8-in. wafer. EPS features measuring 50-80 nm were successfully transferred onto the wafers. The experiments demonstrated that a 5 × 5-in.2 EPS could be used with a step-and-repeat UV-NIL process to imprint 8-in. wafers under atmospheric conditions.  相似文献   

18.
With an increasing use of emerging patterning technologies such as UV-NIL in biotechnological applications there is at the same time a raising demand for new material for such applications. Here we present a PEG based precursor mixed with a photoinitiator to make it UV sensitive as a new material aimed at biotechnological applications. Using HSQ patterned quartz stamps we observed excellent pattern replication indicating good flow properties of the resist. We were able to obtain imprints with <20 nm residual layer. The PEG based resist has hydrogel properties and it swelling in water was observed by AFM.  相似文献   

19.
We present a method to obtain Si-fins with a critical dimension (CD) below 20 nm, separated by a minimum distance of 25 nm and connected by a common source/drain (S/D) pad. The method comprises of recursive spacer defined patterning to quadruple the line density of a 350 nm pitch resist pattern defined by 193 nm lithography. Spacer defined patterning is combined with resist based patterning to simultaneously define fins and S/D pads in a Silicon on Insulator (SOI) film. CD and Line Width Roughness (LWR) analysis was done on top down SEM images taken in a center die and in an edge die of a 200 mm wafer. The average CD is 17 nm in the center of the wafer and 18 nm at the edge. The LWR is 3 nm for both center and edge. Additional process steps to remove etch damage and round the top corner of the fin (i.e. oxidation followed by H2 anneal) further reduce the CD to 13 nm.  相似文献   

20.
In this study, carbon nanotubes (CNTs) and nanoparticles were synthesized by an electron cyclotron resonance-chemical vapor deposition (ECR-CVD) system. Results show that both high- and low-aspect-ratio CNTs and nanoparticles are found. The CNTs range in length from tens of nanometers to micrometers, and in outer diameter from about 5 to 50 nm. Transmission electron microscope (TEM) images show that the faceted nanoparticles exhibit polyhedral or onion or irregularly profiled fullerene structures, and the CNTs growth is from the interlayers lamination. The surface sheet resistance and average surface roughness of the CNT films are about 360 Ω per square and 7-17 nm, respectively. When the CNT sample has a higher amount of nanoparticles, the current density will be increased.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号