首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 296 毫秒
1.
LIGA技术基础研究   总被引:9,自引:3,他引:6  
阐述了LIGA技术的组成及特点.对LIGA工艺掩膜、X射线光刻、电铸及塑铸等进行了工艺原理分析.用一次成型法制作了以聚酰亚胺为衬基、以Au为吸收体的X射线光刻掩膜.简单介绍了这种掩膜的制作工艺过程,并用这种掩膜在北京电子对撞机国家实验室进行了同步辐射X射线光刻,得到了深度为500μm,深宽比达8.3的PMMA材料的微型电磁马达联轴器结构.给出掩膜和X射线光刻照片.同时,对Au、Ni等金属材料的厚膜电铸进行了工艺研究.  相似文献   

2.
介绍了利用北京正负对撞机(BEPC)同步辐射X射线光刻装置,进行LIGA工艺技术深结构光刻实验研究,详细论述了X射线光刻使用的掩模制备过程及掩模镀金工艺,在国内最早曝光出直径为400μm、厚为27~45μm的三维立体齿轮胶图形。  相似文献   

3.
本文提出了超高真空大截面差分系统的分析计算方法,并对北京正负电子对撞机同步辐射X射线光刻光束线的差分抽气系统进行了计算与设计。  相似文献   

4.
针对微细EDM异形电极的加工困难,提出利用同步辐射深度光刻和电铸技术相结合制造EDM电极的方法,可望较好地解决异形电极的制造问题。  相似文献   

5.
本文介绍了BEPC工程(北京正负电子对撞机)同步辐射X射线光刻光束线真空系统设计.对反射镜箱的气载、系统的有效抽速及极限气压进行了分析和计算,并简要论述了有关的一些超高真空工艺问题.  相似文献   

6.
基于LIGA技术的3K-2型微行星齿轮减速器的设计和制造   总被引:1,自引:0,他引:1  
使用同步辐射光和X射线掩模板的LIGA技术能够制造大深宽比的三维微结构。详细讨论了基于该技术的微行星齿轮减速器的设计和制造,包括微行星齿轮减速器的设计、微齿轮X射线掩模板的CAD技术、X射线深层光刻、微齿轮的微电铸和微复制,以及微行昨齿轮减速器的微装配。目前已经得到了金属镍的厚度为400μm的太阳轮、行星轮,厚度为200μm的固定内齿轮、旋转内齿轮,其齿数分别为15、11、36、39。用这些微齿轮装配成了一台模数为0.03mm、减速比为44.2、最大外径为2mm的微行星齿轮减速器,并将其成功地应用在直径为2mm的北型微马达上。  相似文献   

7.
正入射多层膜软X射线显微成像系统的实验研究王占山,曹健林,马月英,陈星旦(中国科学院长春光学精密机械研究所应用光学国家重点实验室长春130022)0引言在过去的软X射线显微成像系统研究中,绝大部分是以同步辐射做光源的。但由于同步辐射光源造价昂贵,机时...  相似文献   

8.
国家同步辐射实验室的LIGA技术研究及应用   总被引:4,自引:2,他引:2  
LIGA技术是一种可以利用多种材料获得大高宽比微结构的微细加工方法。介绍了LIGA技术的原理和国家同步辐射实验室LIGA试验线站的参数。详细给出NSRL近年来在同步辐射光刻、微电铸和微塑铸等LIGA技术方面的研究进展,同时给出其在微惯性器件和微流体器件等LIGA应用方面的研究进展。分析LIGA技术研究及应用的发展现状以及所遇到的困难,结合同步辐射光刻的特点,提出LIGA应用的一些展望。  相似文献   

9.
中国科学院微电子所纳米加工与新器件集成技术实验室借助中科大国家同步辐射实验室二次X射线光刻工艺,近日成功研制出国内首个256位分子存储器电路。据介绍,分子电路是指在分子层次上构筑的电子器件及其集成电路,是后摩尔时代接替硅基电路最受关注的方向之一,它能够使电子器件的关键尺寸缩小到分子尺度,推动集成电路向更小尺寸、更高集成度方向发展。  相似文献   

10.
X射线成像波带片及制作   总被引:1,自引:0,他引:1  
陈洁  柳龙华  刘刚  田扬超 《光学精密工程》2007,15(12):1894-1899
研究了X射线成像波带片的工作原理和制作工艺。从理论上分析了波带片的空间分辨率与最外环宽度的关系,以及波带片衍射效率与厚度和折射率的关系。利用国家同步辐射实验室发展的加工工艺,即电子束光刻技术和X射线光刻技术结合制作波带片。实验结果表明:波带片最外环宽度为150 nm,高宽比为4,基本满足高分辨X射线成像波带片的高空间分辨率、大高宽比、高精度等要求。  相似文献   

11.
Synchrotron Radiation Lithography and MEMS Technique at NSRL   总被引:1,自引:1,他引:0  
1 Introduction  TheSRLincludessoftX -raylithographyanddeepX -raylithography .ThesoftX -raylithog raphyisaimedatfabricatingthesub -micronmi crostructureforthefabricationofquantumdevicesandthereplicationofdeeplithographymask .Thedeeplithographyisusedtofabrica…  相似文献   

12.
Research and Application of MEMS Technique at BSRF   总被引:1,自引:1,他引:0  
LIGA technique has been developed since 1993 at BSRF, including the fabrication of LIGA mask, deep X-ray lithography, electroplating, the pouring molding and the applications in some fields. The LIGA mask with gold absorbing structures of 20μm thickness and 5μm width and Kapton membrane of around 5μm thickness has been successfully fabricated and applied to the deep X-ray lithography with the PMMA structure of 1mm thickness or above. The beamline from a wiggler is used for the deep X-ray lithography of LIGA station and is open to other institutes researching the deep X-ray lithography. The normal process of LIGA technique with the exception of molding has been established with the PMMA structures of 500μm thickness at BSRF. The largest aspect ratio of PMMA structures can reach about 50 with the height of 500μm and the lateral size of 10μm. The nickel and copper structures with the thickness of 0.5mm and 1mm have been made by using the electroplating technique. The SU8 as a resist material of deep etch lithography with UV light is also developed in the fabrication of LIGA mask and some devices at BSRF. Electromagnetic stepping micro motor, heat exchange, accelerator, structures used in the EDM (electro discharge machining) are being developed for the future applications.  相似文献   

13.
A micro-needle array was fabricated on a polycarbonate (PC) substrate using an electroformed-Ni mold with a conical concave pattern. The diameter and length of each needle were 50 μm and 135 μm, respectively. The needle array pattern of the electroformed-Ni mold was produced by combining a grayscale mask for X-ray lithography with Ni electroforming technology. The X-ray grayscale mask was composed of Si absorbers and a SU-8 membrane. Each Si absorber had a three-dimensional cone shape rather than a rectangular shape. Threedimensional Si structures were formed to etch an active Si layer in a silicon-on-insulator wafer using a taperedtrench etching technology. Beamline BL-4 in the TERAS synchrotron radiation facility at AIST was used for the Xray lithography experiments. X-rays that penetrated the X-ray grayscale mask irradiated a polymethylmethacrylate (PMMA) sheet. Pt was deposited on the PMMA structure after developing, and Ni was electroformed on it. The electroformed-Ni object was processed by grinding to complete a Ni mold. Finally, the micro-needle array was fabricated by thermal-imprinting on a 0.5-mm thick PC sheet with the electroformed Ni mold.  相似文献   

14.
Current Status of Extreme Ultraviolet Lithography in Japan   总被引:1,自引:0,他引:1  
ASET, Association of Super- advanced Electronics Technologies, has been taking the initiative in developing EUV lithography technology in Japan for the past three years. The aspherical mirror metrology using a visible light point diffraction interferometer (PDI), the wave-front measurement using an at - wavelength PDI, and an at-wavelength reflectometry for multilayers, various imaging simulations, multilayer coatings for the mask, the development of absorber materials for mask patterning, the mask substrate cleaning technique, and various photoresist processes have been developed. The visible light PDI employs a 0.5 -μm pinhole as an aperture to generate an ideal spherical wave-front and can measure a 0.3 - N A mirror maximum. The at - wavelength PDI can measure the wave-front error of the projection optics. The at- wavelength reflectometer can measure the reflectivity of multilayers and the round - robin test is taking place among ASET, the ALS in Lawrence Berkeley, and BESSY in Germany.The mask cleaning technique employs a supersonic hydro- cleaning technique. We have confirmed that the single layer resists can be used for EUV lithography.  相似文献   

15.
Development of an Experimental EUVL System   总被引:5,自引:3,他引:2  
The authors have developed an experimental system for the studies of extreme ultraviolet projection lithography at 13.0nm wavelength, which includes a laser plasma source,an ellipsoidal condenser, a transmission mask and a Schwarzschild optics. The optical system is optimized to achieve 0.1μm resolution over a 0.1mm diameter image field of view and the mirrors of the objective were coated with Mo/Si multilayer to provide 60% reflectance atnear-normal incidence angle for 13.0nm radiation.  相似文献   

16.
为了提高透皮给药的效率,降低传统注射对人体的疼痛感,需要制备微针阵列结构。本文介绍了一种新的微针阵列结构的制造技术。利用日本立命馆大学的同步辐射光源AURORA进行两次X光移动光刻和一次固定X光光刻技术,在PMMA光刻胶上得到微针阵列。通过采用不同的掩膜版图形以及对不同位置的空心孔进行X光光刻,获得了不同规格的空心微针阵列,针对固定X光光刻时对准的问题,自行研制了X光光刻对准装置,实验结果证明,该装置能实现空心微针阵列的制备。并且进行了微针刺穿测试,结果证明微针有足够的强度。为了达到低成本批量复制微针阵列的目的,还进行了微针模具的倒模和复制实验,成功得到金属镍实心微针阵列。最后,针对光刻过程中微针阵列结构的侧面形状发生畸变的情况,对移动X光光刻建立了仿真预测,将仿真预测结果与实验结果进行了比较,结果表明显影深度的误差为5%。  相似文献   

17.
Micromechanical milling has been shown to be a rapid and direct method for fabricating masks for deep x-ray lithography with lateral absorber features down to 10 micrometers. Conventional x-ray mask fabrication requires complex processes and equipment, and a faster and simpler method using micromechanical milling was investigated for larger microstructures for mesoscale applications. Micromilled x-ray masks consisting of a layered architecture of gold and titanium films on graphite yielded exposures in PMMA with accuracy and repeatability suitable for prototype purposes. A method for compensating milling tool radial runout was adapted, and the average accuracy of mask absorber features was 0.65 micrometers, with an average standard deviation of 0.55 micrometers. The milling process leaves some absorber burrs, and the absorber wall is tapered, which introduces an additional process bias. Mask fabrication by micromilling is fast and, therefore, less costly than conventional mask fabrication processes.  相似文献   

18.
在深紫外LIGA加工中,制作高精度大高宽比的微器件是很困难的。难点在于SU-8 光刻胶对紫外光的吸收系数随着波长变短而很快变大,而且其穿透深度也相应迅速变小;同时由于紫外光的衍射效应,获得高精度的大高宽比结构并不容易。本文深入研究了影响紫外深度光刻图形转移精度的如下因素:衍射效应、曝光剂量、紫外光波长和蝇眼透镜的分布等等。建立了基于模型区域的校正系统,该校正系统采用了分类分区域的思想将掩模图形按其畸变的特点进行了分类,在校正过程中对不同的类别分别建立校正区域,在每一校正区域内进行校正优化处理和校正评价,这种基于模型的分类分区域评价思想,使得校正过程有效且实时,该校正方法不仅降低了校正的复杂性,同时提高了校正的效率。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号