首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
Silicon (Si) and Si with a 60 nm Si0.95Ge0.05 epilayer cap (Si0.95Ge0.05/Si) were implanted with 60 keV, 1×1013 cm−2 boron (B) followed by annealing in nitrogen (N2) or dry oxygen (O2) in two different anneal conditions. B+implantation energy and dose were set such that the B peak is placed inside Si in Si0.95Ge0.05/Si samples and concentration independent B diffusion is achieved upon annealing. For samples annealed above 1075 °C, Ge diffusing from the Si0.95Ge0.05 epilayer cap in Si0.95Ge0.05/Si samples reached the B layer inside Si and resulted in retarded B diffusion compared to the Si samples. For annealing done at lower temperatures, diffusion of Ge from Si0.95Ge0.05 epilayer cap does not reach the B layer inside Si. Thus B diffusion profiles in the Si and Si0.95Ge0.05/Si samples appear to be similar. B diffusion in dry oxidizing ambient annealing of Si0.95Ge0.05/Si samples further depends on the nature of Si0.95Ge0.05 oxidation which is set by the duration and the thermal budget of the oxidizing anneal.  相似文献   

2.
A growth parameter study was made to determine the proper of a SiGe superlattice-type configuration grown on Si substrates by chemical vapor deposition (CVD). The study included such variables as growth temperature, layer composition, layer thickness, total film thickness, doping concentrations, and film orientation. Si and SiGe layers were grown using SiH4 as the Si source and GeH4 as the Ge source. When intentional doping was desired, diluted diborane for p-type films and phosphine for n-type films were used. The study led to films grown at ∼1000°C with mobilities from ∼20 to 40 percent higher than that of epitaxial Si layers and ∼100 percent higher than that of epitaxial SiGe layers grown on (100) Si in the same deposition system for net carrier concentrations of ∼8x1015 cm-3 to ∼2x1017 cm-3. Enhanced mobilities were found in multilayer (100)-oriented Si/Si1-xGex films for layer thicknesses ≥400A, for film thicknesses >2μm, and for layers with x = 0.15. No enhanced mobility was found for (111)-oriented films and for B-doped multilayered (100)-orlented films. Supported in part by NASA-Langley Research Center, Hampton, VA, Contract NAS1-16102 (R. Stermer & A. Fripp, Contr. Mon.)  相似文献   

3.
We have investigated the Si0.8Ge0.2/Si multi-layer grown directly onto the Si (001) substrates using reduced pressure chemical vapor deposition. The thicknesses of the Si0.8Ge0.2/Si multi-layer were determined using transmission electron microscopy. From the results of energy-dispersive X-ray spectroscopy and X-ray diffraction analyses on the Si0.8Ge0.2/Si multi-layer, Ge composition in the Si1?xGex layers was determined as ~20% and the value of residual strain ε of the Si0.8Ge0.2 layer is calculated to be 0.012. Three peaks are observed in Raman spectrum, which are located at approximately 514, 404, and 303 cm?1, corresponding to the vibration of Si–Si, Si–Ge, and Ge–Ge phonons, respectively. The photoluminescence spectrum originates from the radiative recombinations both from the Si substrate and the Si0.8Ge0.2/Si multi-layer. For the Si0.8Ge0.2/Si multi-layer, the transition peaks related to the quantum well region observed in the photocurrent spectrum were preliminarily assigned to e–hh and e–lh fundamental excitonic transitions.  相似文献   

4.
Photoluminescence and Raman spectra of thin germanium layers grown on silicon at a low temperature (250°C) have been studied. In structures of this kind, in contrast to those grown at high temperatures, luminescence from quantum wells is observed at germanium layer thicknesses exceeding ~9 monolayers (ML). With the development of misfit dislocations, the luminescence lines of quantum wells are shifted to higher energies and transverse optical (TO) phonons involved in the luminescence are confined to a quasi-2D germanium layer. Introduction of an additional relaxed Si0.95Ge0.05 layer into the multilayer Ge/Si structure leads to a substantial rise in the intensity and narrowing of the luminescence line associated with quantum dots (to 24 meV), which points to their significant ordering.  相似文献   

5.
In this work, remote plasma-enhanced chemical vapor deposition (RPCVD) has been used to grow Ge x Si1−x /Si layers on Si(100) substrates at 450° C. The RPCVD technique, unlike conventional plasma CVD, uses an Ar (or He) plasma remote from the substrate to indirectly excite the reactant gases (SiH4 and GeH4) and drive the chemical deposition reactions. In situ reflection high energy electron diffraction, selected area diffraction, and plan-view and cross-sectional transmission electron microscopy (XTEM) were used to confirm the single crystallinity of these heterostructures, and secondary ion mass spectroscopy was used to verify abrupt transitions in the Ge profile. XTEM shows very uniform layer thicknesses in the quantum well structures, suggesting a Frank/ van der Merwe 2-D growth mechanism. The layers were found to be devoid of extended crystal defects such as misfit dislocations, dislocation loops, and stacking faults, within the TEM detection limits (∼105 dislocations/cm2). Ge x Si1−x /Si epitaxial films with various Ge mole fractions were grown, where the Ge contentx is linearly dependent on the GeH4 partial pressure in the gas phase for at leastx = 0 − 0.3. The incorporation rate of Ge from the gas phase was observed to be slightly higher than that of Si (1.3:1).  相似文献   

6.
Remote plasma-enhanced chemical vapor deposition (RPCVD) is a low temperature growth technique which has been successfully employed inin situ remote hydrogen plasma clean of Si(100) surfaces, silicon homoepitaxy and Si1- xGex heteroepitaxy in the temperature range of 150–450° C. The epitaxial process employs anex situ wet chemical clean, anin situ remote hydrogen plasma clean, followed by a remote argon plasma dissociation of silane and germane to generate the precursors for epitaxial growth. Boron doping concentrations as high as 1021 cm?3 have been achieved in the low temperature epitaxial films by introducing B2H6/He during the growth. The growth rate of epitaxial Si can be varied from 0.4Å/min to 50Å/min by controlling therf power. The wide range of controllable growth rates makes RPCVD an excellent tool for applications ranging from superlattice structures to more conventional Si epitaxy. Auger electron spectroscopy analysis has been employed to confirm the efficacy of this remote hydrogen plasma clean in terms of removing surface contaminants. Reflection high energy electron diffraction and transmission electron microscopy have been utilized to investigate the surface structure in terms of crystallinity and defect generation. Epitaxial Si and Si1-xGex films have been grown by RPCVD with defect densities below the detection limits of TEM (~105 cm-2 or less). The RPCVD process also exploits the hydrogen passivation effect at temperatures below 500° C to minimize the adsorption of C and 0 during growth. Epitaxial Si and Si1-xGex films with low oxygen content (~3 × 1018 cm-3) have been achieved by RPCVD. Silicon and Si/Si1-xGex mesa diodes with boron concentrations ranging from 1017 to 1019 cm-3 in the epitaxial films grown by RPCVD show reasonably good current-voltage characteristics with ideality factors of 1.2-1.3. A Si/Si1-xGex superlattice structure with sharp Ge transitions has been demonstrated by exploiting the low temperature capability of RPCVD.In situ plasma diagnostics using single and double Langmuir probes has been performed to reveal the nature of the RPCVD process.  相似文献   

7.
Strained-layer SiGex-on-Si heteroepitaxy has been achieved by photolytic decomposition of disilane (Si2H6) and digermane (G e2H6) in an ultra high vacuum (UHV) chamber at substrate temperatures as low as 275°C. An ArF excimer laser (193 nm) shining parallel to the Si substrate was used as the UV light source to avoid surface damage and substrate heating. The partial pressures of the source gases in the reactor were chosen to vary the Ge mole fraction x from 0.06 to 0.5 in the alloy. The Si2H6 partial pressure was kept at 10 mTorr and the Ge2H6 partial pressure was varied from 0.13 to 2 mTorr with the laser intensity fixed at 2.75 × 1015 photons/cm2·pulse. To fit the Si1−xGex growth rate and Ge mole fraction data, the absorption cross section of Ge2H6 at 193 nm was set to 1 × 10−16 cm2, which is 30 times larger than that of Si2H6 (3.4 × 10−18 cm2). For Si1−xGex alloy growth, the deposition rate of Si increases with Ge mole fraction, resulting in increased Si1−xGex alloy growth rates for higher Ge content. The increase of the Si growth rate was attributed to the enhanced adsorption rate of Si2H6 pyrolytically in the presence of Ge, rather than due to photolytic decomposition reaction. The Ge mole fraction in Si1−xGex alloys can be predicted by a new model for Si and Ge pyrolytic and photolytic growth. The model describes the increased growth rate of Si1−xGex alloys due to a Ge2H6 catalytic effect during photo-enhanced chemical vapor deposition.  相似文献   

8.
The optical property was studied on the Si0.8Ge0.2/Si strained multiple quantum well (MQW) structure grown using ultra-high vacuum chemical vapor deposition (UHV-CVD). Three peaks are observed in Raman spectrum, which are located at about 510, 410, and 300 cm−1, corresponding to the vibration of Si–Si, Si–Ge, and Ge–Ge phonons, respectively. The photoluminescence (PL) spectrum originates from the radiative recombinations both from the Si substrate and the Si0.8Ge0.2/Si MQW. For Si0.8Ge0.2/Si strained MQW, the transition peaks related to the MQW region observed in the photocurrent (PC) spectrum were preliminarily assigned to electron–heavy hole (e–hh) and electron–light hole (e–lh) fundamental excitonic transitions.  相似文献   

9.
Our recent experimental results of Ge nanoheteroepitaxy (NHE) on Si nanopillars (NPs) are reviewed to confirm the possibility of relaxed Ge growth on Si without misfit dislocations (MDs) formation by elastic deformation. Selective Ge growth is performed by using reduced pressure chemical vapor deposition (CVD) on two types of Si NPs with thermal SiO2 or CVD SiO2 sidewalls and on Si nanoislands (NIs) on SiO2. By using thermal SiO2 sidewall, compressive strain is generated in the Si pillar and fixed by the thermal SiO2. This results in an incoherent Ge growth on Si NPs due to MD formation. By using CVD SiO2 sidewall, tensile strain formation due to thermal expansion during prebake for Ge epi process is observed. However, strain in Si due to Ge growth is not dominant. By introducing a Si0.5Ge0.5 buffer layer, no MD and stacking faults are observed by cross section TEM. The shape of Ge on Si NPs becomes more uniform due to improved crystal quality. On Si NIs on SiO2, a clear compliance effect is observed after Ge growth. Coherent growth of Ge on Si is also realized on Si NIs by using Si0.5Ge0.5 buffer.  相似文献   

10.
In this paper, a novel raised p+−n junction formation technique is presented. The technique makes use ofin- situ doped, selectively deposited Si0.7Ge0.3 as a solid diffusion source. In this study, the films were deposited in a tungsten halogen lamp heated cold-walled rapid thermal processor using SiCl2H2, GeH4, and B2H6. The microstructure of the Si0.7Ge0.3 layer resembles that of a heavily defected epitaxial layer with a high density of misfit dislocations, micro-twins, and stacking faults. Conventional furnace annealing or rapid thermal annealing were used to drive the boron from thein- situ doped Si0.7Ge0.3 source into silicon to form ultra-shallow p+−n junctions. Segregation at the Si0.7Ge0.3/Si interface was observed resulting in an approximately 3:1 boron concentration discontinuity at the interface. Junction profiles as shallow as a few hundred angstroms were formed at a background concentration of 1017 cm−3.  相似文献   

11.
High-resolution X-ray diffraction rocking curve (RC) and X-ray reflectivity (XRR) were used to characterize the Si based heterostructures grown by reduced pressure chemical vapour deposition. The investigation focused on the reliability and accuracy of thickness measurement by the different techniques. For smooth Si epilayers grown on a thin (20 nm) strained Si0.9Ge0.1 buffer, it is found that both XRR and RC produce reliable values that agree well with transmission electron microscope (TEM) results over a wide range. The best-fit thickness from both XRR and RC is within ±5% of the TEM measurement, with XRR producing more accurate values than RC. However, the agreement is not good for Si epilayer grown on a thick (2 μm) relaxed Si07Ge0.3 virtual substrate due to the presence of rough surface.  相似文献   

12.
We have grown Ge x Si1-x (0 <x < 0.20,1000–3000Å thick) on small growth areas etched in the Si substrate. Layers were grown using both molecular beam epitaxy (MBE) at 550° C and rapid thermal chemical vapor deposition (RTCVD) at 900° C. Electron beam induced current images (EBIC) (as well as defect etches and transmission electron microscopy) show that 2800Å-thick, MBE Ge0.19Si0.81 on 70-μm-wide mesas have zerothreading and nearly zero misfit dislocations. The Ge0.19Si{0.81} grown on unpatterned, large areas is heavily dislocated. It is also evident from the images that heterogeneous nucleation of misfit dislocations is dominant in this composition range. 1000Å-thick, RTCVD Ge0.14Si0.86 films deposited on 70 μm-wide mesas are also nearly dislocation-free as shown by EBIC, whereas unpatterned areas are more heavily dislocated. Thus, despite the high growth temperatures, only heterogeneous nucleation of misfit dislocations occurs and patterning is still effective. Photoluminescence spectra from arrays of GeSi on Si mesas show that even when the interface dislocation density on the mesas is high, growth on small areas results in a lower dislocation density than growth on large areas.  相似文献   

13.
GaAs/InxGa1−x As quantum dot heterostructures exhibiting high-intensity λ=1.3 μm photoluminescence at room temperature have been grown on (001) Si substrate with a Si1−x Gex buffer layer. The growth was done successively on two MBE machines with sample transfer via the atmosphere. The results obtained by the study of the structure growth process by means of high-energy electron diffraction are presented. __________ Translated from Fizika i Tekhnika Poluprovodnikov, Vol. 36, No. 5, 2002, pp. 565–568. Original Russian Text Copyright ? 2002 by Burbaev, Kazakov, Kurbatov, Rzaev, Tsvetkov, Tsekhosh.  相似文献   

14.
The energy band diagram of the multilayered Ge0.8Si0.2/Ge0.1Si0.9 heterostructures with vertically correlated quantum dots is analyzed theoretically. With regard to fluctuations of the thickness layer in the columns of quantum dots and to the exciton-phonon coupling, it is shown that the electron states constitute a miniband. The hole wave functions remain localized in the quantum dots. The spectrum of optical transitions calculated for a 20-layered structure at room temperature is in good agreement with the experimental photoluminescence spectrum that involves an intense band at about 1.6 μm. From theoretical considerations and experimental measurements, specific evidence for the miniband in the superlattice is deduced; it is found that the overlap integrals of the wave functions of electrons and holes and the integrated intensity of the photoluminescence band of the Ge quantum dots are described by quadratic functions of the number of the structure periods.  相似文献   

15.
Epitaxial Ge layer growth of low threading dislocation density (TDD) and low surface roughness on Si (1 0 0) surface is investigated using a single wafer reduced pressure chemical vapor deposition (RPCVD) system. Thin seed Ge layer is deposited at 300 °C at first to form two-dimensional Ge surface followed by thick Ge growth at 550 °C. Root mean square of roughness (RMS) of ∼0.45 nm is achieved. As-deposited Ge layers show high TDD of e.g. ∼4 × 108 cm−2 for a 4.7 μm thick Ge layer thickness. The TDD is decreasing with increasing Ge thickness. By applying a postannealing process at 800 °C, the TDD is decreased by one order of magnitude. By introducing several cycle of annealing during the Ge growth interrupting the Ge deposition, TDD as low as ∼7 × 105 cm−2 is achieved for 4.7 μm Ge thick layer. Surface roughness of the Ge sample with the cyclic annealing process is in the same level as without annealing process (RMS of ∼0.44 nm). The Ge layers are tensile strained as a result of a higher thermal expansion coefficient of Ge compared to Si in the cooling process down to room temperature. Enhanced Si diffusion was observed for annealed Ge samples. Direct band-to-band luminescence of the Ge layer grown on Si is demonstrated.  相似文献   

16.
Relaxed Si1−xGex layers grown by rapid thermal chemical vapor deposition (RTCVD) have been characterized by photoluminescence (PL) spectroscopy. The structures consist of a Si1−xGex capping layer with a 0.32 and 0.52 Ge concentration, grown on a compositionally graded Si1−xGex buffer layer. The effect of the composition grading rate on the layer quality has been intensively studied. Well-resolved near band edge luminescence (excitonic lines with no-phonon and phonon replica similar as in bulk SiGe alloys) coming from the relaxed alloy capping layer and dislocation-related bands (Dl, D2, D3, D4 lines) in the graded buffer layer have been measured. The electronic quality of this relaxed capping layer, controlled by the design of the compositionally graded buffer layer, has been determined by the excitonic photoluminescence. A detailed analysis of the energy of the D4 dislocation band demonstrates that the main misfit dislocations remain confined in the first steps of the graded buffer layer. Si1−xGex layers grown on these pseudo-substrates either under compressive or tensile strain and the well-defined PL results obtained are discussed on the bases of strain symmetrization and of high quality of the layers. This points out the possibility of using such high quality relaxed Si1−xGex layers as substrates for the integration of new devices associated with Si technology.  相似文献   

17.
We demonstrate ultra-thin (<150 nm) Si1−x Ge x dislocation blocking layers on Si substrates used for the fabrication of tensile-strained Si N channel metal oxide semiconductor (NMOS) and Ge P channel metal oxide semiconductor (PMOS) devices. These layers were grown using ultra high vacuum chemical vapor deposition (UHVCVD). The Ge mole fraction was varied in rapid, but distinct steps during the epitaxial layer growth. This results in several Si1−x Ge x interfaces in the epitaxially grown material with significant strain fields at these interfaces. The strain fields enable a dislocation blocking mechanism at the Si1−x Ge x interfaces on which we were able to deposit very smooth, atomically flat, tensile-strained Si and relaxed Ge layers for the fabrication of high mobility N and P channel metal oxide semiconductor (MOS) devices, respectively. Both N and P channel metal oxide semiconductor field effect transister (MOSFETs) were successfully fabricated using high-k dielectric and metal gates on these layers, demonstrating that this technique of using ultra-thin dislocation blocking layers might be ideal for incorporating high mobility channel materials in a conventional CMOS process.  相似文献   

18.
The epitaxial growth of an epi-Ge layer via GexSi1-xO2 reduction in hydrogen annealing is reported. GexSi+1-x alloys with x = 0.52 and 0.82 were first grown epitaxially on Si substrates. They were then oxidized in a wet ambient and subsequently annealed in 5% or 100% H2. The reduction of Ge from its oxide state is observed in both samples with both ambients. However, an epitaxial Ge growth is only observed in the sample with x = 0.82 after the 5% H2 annealing. The other three cases result in the formation of polycrystalline Ge. The roles of the hydrogen partial pressure and the Ge content are discussed and conditions under which this novel mode of solid-phase epitaxy can occur are explained.  相似文献   

19.
We demonstrate epitaxially grown high-quality pure germanium (Ge) on bulk silicon (Si) substrates by ultra-high-vacuum chemical vapor deposition (UHVCVD) without involving growth of thick relaxed SiGe buffer layers. The Ge layer is grown on thin compressively strained SiGe layers with rapidly varying Ge mole fraction on Si substrates resulting in several SiGe interfaces between the Si substrate and the pure Ge layer at the surface. The presence of such interfaces between the Si substrate and the Ge layer results in blocking threading dislocation defects, leading to a defect-free pure Ge epitaxial layer on the top. Results from various material characterization techniques on these grown films are shown. In addition, capacitance-voltage (CV) measurements of metal-oxide-semiconductor (MOS) capacitors fabricated on this structure are also presented, showing that the grown structure is ideal for high-mobility metal-oxide-semiconductor field-effect transistor applications.  相似文献   

20.
A simple and low-cost structure of voltage-tunable dual-band near-infrared photodetector (PD) has been proposed, in which the PDs were developed by using Si0.8Ge0.2/Si metal-semiconductor-metal (MSM) heterostructure. The Si0.8Ge0.2/Si layers were deposited by ultrahigh-vacuum chemical vapor deposition system and a transparent layer of indium-tin oxide (ITO) was used as a metal layer to enhance the entrance of photons. In this study, we found that only one band was detected with a peak wavelength located at 950 nm at zero applied bias. When bias was increased to 1 V, in contrast a dual-band was achieved, where two peak wavelengths were centered at 950- and 1150-nm. It is suggested that the two bands are the absorption of top-Si and bottom-Si0.8Ge0.2 layers, respectively. The spectra of Si bulk and Si0.8Ge0.2 layer were also measured to verify our results and relating mechanisms are explained here.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号