首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this work, the (gate) current versus (gate) voltage (IV) characteristics and the dielectric breakdown (BD) of an ultra-thin HfO2/SiO2 stack is studied by enhanced conductive atomic force microscopy (ECAFM). The ECAFM is a CAFM with extended electrical performance. Using this new set up, different conduction modes have been observed before BD. The study of the BD spots has revealed that, as for SiO2, the BD of the stack leads to modifications in the topography images and high conductive spots in the current images. The height of the hillocks observed in the topography images has been considered an indicator of structural damage.  相似文献   

2.
For TaN–HfO2–TaNx capacitors, the effects of bottom electrode TaNx with different nitrogen contents on electrical characteristics are exhibited. An obvious oxygen deficiency can be found in HfO2 film by AES analysis for the sample with bottom electrode TaNx sputtered at a N2/(N2 + Ar) flow ratio of 10%. The bottom electrode TaNx films with different nitrogen contents apparently affect HfO2/TaNx interfacial property and electrical characteristic of follow-up deposited HfO2 film. Experimental results reveal that the more defective TaNx structure can induce more oxygen vacancies in subsequently deposited HfO2 film and result in a higher leakage current density and a worse breakdown electric field. Two factors affect these electrical characteristics including interfacial stress and oxygen vacancy. It indicates that interfacial stress due to various stochiometric TaNx structure dominates the voltage linearity property of capacitance, but more leak paths and local field breakdown defects can be induced by interfacial stress and oxygen vacancy. Better electrical characteristics can be attained for the sample with bottom electrode TaNx sputtered at a N2/(N2 + Ar) flow ratio of 20%.  相似文献   

3.
We report here on pentacene based organic field effect transistors (OFETs) with a high-k HfO2 gate oxide. HfO2 layers were prepared by two different methods: anodic oxidation and sol–gel. A comparison of the two processes on the electrical properties of OFETs is given. Ultra thin nanoporous (20 nm) sol–gel deposited oxide films were obtained following an annealing at 450 °C. They lead to high mobility and stable devices (μ = 0.12 cm2/V s). On the other hand, devices with anodic HfO2 revealed a little bit more leaky and show some hysteresis. Anodization, however, presents the advantage of being a fully room temperature process, compatible with plastic substrates. Stability and response to a bias stress are also reported.  相似文献   

4.
Electrical characterization of the hafnium oxide (HfO2) gate dielectric films prepared by Hf sputtering in oxygen was conducted. By measuring the current–voltage (IV) characteristics at temperature ranging from 300 to 500 K, several abnormalities in the IV characteristics are recorded. For temperatures below 400 K, the current–voltage characteristics in high field region can be plotted with the Fowler–Nordheim law but a stronger temperature dependence was observed. Large flatband voltage shifts in the Al/HfO2/Si capacitor were observed. The capacitance–voltage characteristics and flatband shifts are found to depend strongly on the post-deposition annealing temperature and duration. To study the reliability against high electric field, constant voltage stressing on the samples was conducted. We found that the trap energy levels are shallow and the oxide traps can be readily filled and detrapped at a low bias voltage.  相似文献   

5.
Metal–insulator–semiconductor (MIS) capacitors and metal–insulator–semiconductor field effect transistors (MISFETs) incorporating HfO2 gate dielectrics were fabricated using RF magnetron sputtering. In this work, the essential structures and electrical properties of HfO2 thin film were examined. The leakage current measured from MIS capacitors depends on the sputtering gas mixture and the annealing temperature. The best condition to achieve the lowest leakage current is to perform the annealing at 500 °C with a mixture of 50% N2 and 50% O2 gas ratio. Aluminum is used as the top electrode. The Al/HfO2 and the HfO2/Si barrier heights extracted from Schottky emission are 1.02 eV and 0.94 eV, respectively. An Al/HfO2/Si energy band diagram is proposed based on these results.  相似文献   

6.
The physical and electrical properties of hafnium oxide (HfO2) thin films deposited by high pressure reactive sputtering (HPRS) have been studied as a function of the Ar/O2 ratio in the sputtering gas mixture. Transmission electron microscopy shows that the HfO2 films are polycrystalline, except the films deposited in pure Ar, which are amorphous. According to heavy ion elastic recoil detection analysis, the films deposited without using O2 are stoichiometric, which means that the composition of the HfO2 target is conserved in the deposition films. The use of O2 for reactive sputtering results in slightly oxygen-rich films. Metal-Oxide-Semiconductor (MOS) devices were fabricated to determine the deposited HfO2 dielectric constant and the trap density at the HfO2/Si interface (Dit) using the high–low frequency capacitance method. Poor capacitance–voltage (CV) characteristics and high values of Dit are observed in the polycrystalline HfO2 films. However, a great improvement of the electrical properties was observed in the amorphous HfO2 films, showing dielectric constant values close to 17 and a minimum Dit of 2×1011 eV−1 cm−2.  相似文献   

7.
An electrical characterization comparative analysis between Al/HfO2/n-Si and Al/Hf-Si-O/n-Si samples has been carried out. Hafnium-based dielectric films have been grown by means of atomic layer deposition (ALD). Interface quality have been determined by using capacitance–voltage (CV), deep level transient spectroscopy (DLTS) and conductance transient (G-t) techniques. Our results show that silicate films exhibit less flat-band voltage shift and hysteresis effect, and so lower disordered induced gap states (DIGS) density than oxide films, but interfacial state density is greater in Hf–Si–O than in HfO2. Moreover, a post-deposition annealing in vacuum under N2 flow for 1 min, at temperatures between 600 and 730 °C diminishes interfacial state density of Hf–Si–O films to values measured in HfO2 films, without degrade the interface quality in terms of DIGS.  相似文献   

8.
The paper pursues an investigation of the errors associated with the extraction of the dielectric constant (i.e., κ value) from capacitance–voltage measurements on metal oxide semiconductor capacitors. The existence of a transition layer between the high-κ dielectric and the silicon substrate is a factor that affects – in general – the assessment of the electrical data, as well as the extraction of κ. A methodology which accounts for this transition layer and the errors related to other parameters involved in the κ value extraction is presented; moreover, we apply this methodology to experimental CV results on HfO2/SiOx/Si structures produced in different conditions.  相似文献   

9.
The evolution of HfO2(3–5 nm)/SiO2(0.5 nm)/Si(1 0 0) stacks during vacuum annealing was monitored in situ with the combination of X-ray photoelectron spectroscopy and low energy ion scattering techniques and supplemented with atomic force microscopy analysis to investigate the mechanism that triggers HfO2 degradation with Hf silicide formation. The reduction of SiO2 interfacial layer and the formation of local paths for SiO escape into vacuum are believed to be critical at vacuum annealing above T > 850 °C for the reaction between HfO2 and Si to start and eventually lead to the degradation of the former.  相似文献   

10.
Metal-oxide-semiconductor capacitors based on HfO2 gate stack with different metal and metal compound gates (Al, TiN, NiSi and NiAlN) are compared to study the effect of the gate electrode material on the trap density at the insulator–semiconductor interface.CV and Gω measurements were made in the frequency range from 1 kHz to 1 MHz in the temperature range 180–300 K. From the maximum of the plot G/ω vs. ln(ω) the density of interface states was calculated, and from its position on the frequency axis the trap cross-section was found. Reducing temperature makes it possible to decrease leakage current through the dielectric and to investigate the states located closer to the band edge.The structures under study were shown to contain significant interface trap densities located near the valence band edge (around 2×1011 cm−2eV−1 for Al and up to (3.5–5.5)×1012 cm−2 eV−1 for other gate materials). The peak in the surface state distribution is situated at 0.18 eV above the valence band edge for Al electrode. The capture cross-section is 5.8×10−17 cm2 at 200 K for Al–HfO2–Si structure.  相似文献   

11.
The paper reports on electrical and optical investigations performed on HfO2 high-k films deposited by Metal-organic chemical vapor deposition (MOCVD). Spectroellipsometry investigations show the presence of a transition layer between HfO2 and the silicon substrate, which can be optically modelled as a mixture of Si and SiO2; this information is further used in the assessment of the electrical measurements. Hysteresis effects have been observed in the Capacitance–Voltage (CV) measurements for the as-deposited sample as well as the annealed samples. For the samples with large hysteresis, Poole–Frenkel (PF) conduction is the most likely dominant conduction mechanism. The energy of dominant trap level was found to be 0.7 eV.  相似文献   

12.
MOSFETs and MOSCs incorporating HfO2 gate dielectrics were fabricated. The IDSVDS, IDSVGS, gated-diode and CV characteristics were investigated. The subthreshold swing and the interface trap density were obtained. The surface recombination velocity and the minority carrier lifetime in the field-induced depletion region measured from the gated diodes were about 2.73 × 103 cm/s and 1.63 × 10−6 s, respectively. The effective capture cross section of surface state was determined to be 1.6 × 10−15 cm2 using the gated-diode technique in comparison with the subthreshold swing measurement. A comparison with conventional MOSFETs using SiO2 gate oxide was also made.  相似文献   

13.
The density and energy distribution of electrically active interface defects in the (1 0 0)Si/SiO2/HfO2 system are presented. Experimental results are analysed for HfO2 thin films deposited by atomic layer deposition and metal-organic chemical vapour deposition on (1 0 0)Si substrates. The paper discusses the origin of the interface states, and their passivation in hydrogen over the temperature range 350–550 °C.  相似文献   

14.
Optical and electrical properties of a set of high-k dielectric HfO2 films, deposited by liquid injection atomic layer deposition (LI-ALD) and post deposition annealed (PDA) in nitrogen (N2) ambient at various temperatures (400–600 °C), were investigated. The films were prepared using the cyclopentadienyl of hafnium precursor [Cp2Hf(CH3)2] with water deposited at 340 °C. The spectroscopic ellipsometric (SE) results show that the characteristics of the dielectric functions of these films are strongly affected by annealing temperatures. IV results show that N2-based PDA enhances the average energy depth of the shallow trapping defects from Poole–Frenkel conduction fitting. This also correlated with the measured increase in MOS capacitance–voltage hysteresis.  相似文献   

15.
In this paper, recent results of Weibull slopes, area scaling factors, and breakdown behaviors observed for both soft breakdown and hard breakdown are discussed. These results would help to shed light on the breakdown mechanism of HfO2 gate dielectrics. The Weibull slope β of the hard breakdown for both the area dependence and the time-to-dielectric-breakdown distribution was found to be β=2, whereas that of the soft breakdown was about 1.4 (EOT=14 Å). We also integrated the time-to-breakdown characteristics of HfO2 under unipolar AC voltage stress on MOS capacitors. The results show that longer lifetime of HfO2 has been observed when compared to constant voltage stress. Higher frequency and lower duty cycle in the AC stress resulted in longer lifetime. As thickness decreases, the amount of lifetime enhancement decreases. The enhancement of unipolar tBD is attributed to less charge trapping during the “on time”, ton and charge detrapping during the off time, toff. It is proposed that time (τin) for charge to be trapped in HfO2 is longer than ton of unipolar stress under high frequency. In addition to experimental results, possible solutions are discussed.  相似文献   

16.
Thin (4 nm) hafnium silicate (HfO2)x(SiO2)1−x/SiO2 gate stacks (0 < x < 1) grown by metal organic chemical vapour deposition (MOCVD) are investigated in this study. The focus is on extracting the optical constants, and hence bandgaps as well as dielectric constants. The VUV (vacuum ultraviolet) spectroscopic ellipsometry (VUV-SE) technique in the spectral range 140–1700 nm, together with current–voltage and capacitance–voltage techniques were used for studying the optical and electrical properties of the layers, respectively. The bandgap was found to increase from 5.24 eV for HfO2 to 6 eV for Hf-silicate with 30% Hf. The permittivity was reduced from 21 for HfO2 layers to 8 for Hf-silicate with x = 0.3. The results suggest that the optimal Hf content is above 0.6, for which the permittivity higher than 10 can be achieved.  相似文献   

17.
Current leakage and breakdown of MIM capacitors using HfO2 and Al2O3–HfO2 stacked layers were studied. Conduction in devices based upon HfO2 layers thinner than 8 nm is probably dominated by tunnelling. Al2O3–HfO2 stacked layers provide a limited benefit only in term of breakdown field. Constant-voltage wear-out of samples using insulating layer thicker than 6 nm is dominated by a very fast increase of the leakage current. A two step mechanism involving the generation of a conduction path followed by a destructive thermal effect is proposed to explain breakdown mechanism.  相似文献   

18.
High-κ oxides such as ZrO2 and HfO2 have attracted great interest, due to their physical properties, suitable to replacement of SiO2 as gate dielectric materials. In this work, we investigate the tunneling properties of ZrO2 and HfO2 high-κ oxides, by applying quantum mechanical methods that include the full-band structure of Si and oxide materials. Semiempirical sp3s*d tight-binding parameters have been determined to reproduce ab initio band dispersions. Transmission coefficients and tunneling current have been calculated for Si/ZrO2/Si and Si/HfO2/Si MOS structures, showing a very low gate leakage current in comparison to SiO2-based structures with equivalent oxide thickness.  相似文献   

19.
The recrystallization and dielectric behavior for amorphous CaHfOx films on Si substrates has been investigated. Upon conventional annealing in air, the CaHfOx films remain amorphous up to an annealing temperature of 800 °C for annealing times of 1 h. This recrystallization temperature is significantly higher than that reported for HfO2 subjected to rapid thermal annealing. Metal–insulator–semiconductor structures with Pt gate electrodes were fabricated with various CaHfOx film thickness for capacitance–voltage and leakage current measurements. From this, the permittivity of CaHfOx was determined, along with interface layer capacitance for films on Si. The enhanced stability against polycrystalline grain growth, along with the thermodynamic stability of both CaO and HfO2 in contact with Si, suggests that CaHfOx may be an attractive gate dielectric for future generation metal–oxide–semiconductor field-effect transistor applications.  相似文献   

20.
Resistive switching in high-κ (HK) dielectric based metal-insulator-metal (MIM) devices occurs locally and is accompanied by dynamic changes in the structural and electrical properties of the HK dielectric. In polycrystalline HfO2 HK dielectric based MIM devices, grain boundaries (GBs) play a significant role in the formation of a percolation path for the resistive switching as the GB regions contain a large number of defects and favor the formation of conductive/low resistive paths. In this work, we present a multi-physics based combined Kinetic Monte Carlo-Finite element model (KMC-FEM) 3D percolation framework to simulate the resistive switching (high resistive state (HRS) to low resistive state (LRS)) process in TiN/HfO2 (5 nm)/Pt MIM stacks. The KMC-FEM model describes the effect of GBs on the formation of conductive path during the HRS to LRS resistive switching. In addition, this model is used to find the statistical distribution of conductive filament/path formation in amorphous and polycrystalline HfO2 dielectrics. Conductive atomic force microscopy and transmission electron microscopy observations on the characteristics of the HfO2 dielectrics at the nanometer scale complement the simulation results. The results clearly show that the HRS to LRS resistive switching occurs preferably at the GB regions in polycrystalline HfO2 and at random locations in amorphous HfO2 -based MIM stacks.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号