首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 218 毫秒
1.
提出一种设计全数字锁相环的新方法,采用基于PI控制算法的环路滤波器,在分析模拟锁相环系统的数学模型的基础上,建立了带宽自适应全数字锁相环的数学模型。使用DSP Builder在Matlab/Simulink环境下搭建系统模型,并采用FPGA实现了硬件电路。软件仿真和硬件测试的结果证明了该设计的正确性和易实现性。该锁相环具有锁频速度快、频率跟踪范围宽的特点。同时,系统设计表明基于DSP Builder的设计方法可缩短设计周期,提高设计的灵活性。  相似文献   

2.
袁园  程梦璋 《通信技术》2011,(10):118-120
首先介绍了数控振荡器(NCO,Numerically Controlled Oscillator)的原理和特点,研究了用DSP Builder实现数控振荡器的传统设计方法,并在此基础上提出了一种使用1/4周期存储的优化方法,并将其与整周期存储方法进行仿真比较。相对于传统整周期存储,采用1/4周期存储方法可以在不增加额外只读存储器(ROM,Read Only Memory)存储空间的情况下提高了频率分辨率。该方法在Matlab/Simulink中得以实现,在ModelSim中进行了功能仿真之后将设计模型下载到FPGA进行硬件仿真。  相似文献   

3.
传统DSP系统开发过程中从理论分析到软件仿真再到硬件实现,周期长效率低。而采用基于DSP Builder的快速DSP系统开发方法,可以快速完成模型创建,软件仿真,硬件实现,特别是通过引入回路硬件(HIL)模块,可以将硬件平台链接入由Simulink构建的仿真测试回路,进行软硬件协同仿真。文中通过设计基于直接频率合成器技术的多功能调制器(可用于中国地面数字电视广播传输标准DMB-TH),以及中国地面数字电视广播传输标准下的交织/解交织器来对这种开发方式进行研究,在提高开发效率的同时,得到了令人满意的结果。  相似文献   

4.
基于Matlab/DSP Builder任意波形信号发生器的两种设计   总被引:1,自引:1,他引:0  
根据传统型任意波形信号发生器和基于DDS任意波形信号发生器的设计原理,采用Matlab/DSP Builder的建模方法,在DSPBuilder平台上完成两种原理的系统建模和仿真,并用Signal Compiler工具对模型进行编译,产生Quartus Ⅱ能够识别的VHDL源程序,并通过FPGA芯片EP2C8Q208c来实现,最后用SignalTapⅡ进行硬件测试。经系统仿真和硬件测试,证明两种设计方法的正确性。比较传统的硬件描述语言建模,该方法设计简单、修改方便、成本低、不涉及到任何编程,对硬件理论知识要求不高,实现起来容易。  相似文献   

5.
本文以磁感应成像系统中激励源的设计为例,介绍了利用DSP Builder进行硬件设计的设计思路及方法.文中根据磁感应成像激励源的参数要求,提出了基于直接数字频率合成的多频移相激励源的总体设计方案;说明了利用DSP Builder搭建激励源模型的方法及步骤,最后通过模型仿真,验证了设计激励源模型的可行性.  相似文献   

6.
基于DSP Builder的语音端点检测的实现   总被引:3,自引:2,他引:1  
介绍了一种基于能量变迁的语音端点检测方法以及如何用DSP Builder实现该方法的硬件电路设计.设计时采用了查表、多时钟频率设计和有限状态机的方法提高运算速度,简化硬件结构.通过仿真和FPGA验证结果表明,该方法可以有效的检测出语音信号的起点和终点,动态分帧的结构可以满足嵌入式系统的实时性要求.  相似文献   

7.
巴克码是最常用的帧同步码组。为了实现巴克码组的有效检出,利用DSP Builder设计了一种新的13位巴克码识别器电路。在Matlab/Simulink中对设计的电路进行了纯数字仿真,然后将设计的系统载入到FPGA芯片中,运用硬件在回路仿真技术进行半实物仿真。结果表明,基于DSP Builder设计的巴克码检出电路简单易行、稳定可靠,达到了预期的要求。  相似文献   

8.
针对使用硬件描述语言进行设计存在的问题,提出一种基于FPGA并采用DSP Buiider作为设计工具的数字信号处理器设计方法.并按照Matlab/Simulink/DSP Builder/Quartus Ⅱ设计流程,设计了一个12阶FIR低通数字滤波器,通过Quartus时序仿真及嵌入式逻辑分析仪SignalTap Ⅱ硬件测试对设计进行了验证.结果表明,所设计的FIR滤波器功能正确,性能良好.  相似文献   

9.
基于DSPBuilder的DDS设计与实现   总被引:1,自引:0,他引:1  
DDS技术应用广泛,设计和实现DDS的方法有多种,随着EDA技术和FPGA器件的发展,应用FPGA实现DDS具有灵活性好、价格较低、研制周期短等优点。DSP Builder是Altera公司的系统级DSP开发软件,应用DSP Builder设计DDS,可根据DDS原理实现模块化设计,使设计更为直观和简化,结合Matlab软件的设计与调试功能,使系统仿真更为简便。将设计下载到硬件中运行,测试结果表明,应用DSP Builder设计DDS方案切实可行,输出波形频率范围较宽,波形稳定度和分辨率较高。  相似文献   

10.
基于FPGA的实时PHAT加权广义互相关时延估计器   总被引:1,自引:0,他引:1  
李文鹏  陈荷娟 《电声技术》2013,37(10):54-57
针对水下超声探测的应用需求,在对广义互相关时延估计算法的研究基础上,设计了一种基于FPGA的实时的PHAT加权广义互相关时延估计器。在设计中应用流水线结构提高了系统的工作频率,利用DSP Builder和硬件描述语言联合设计降低了设计的难度。仿真和实验结果表明,所设计的时延估计器时延估计准确,其工作频率可以达到92.79 MHz,能够满足实时性的要求。  相似文献   

11.
杜友杰  王紫婷 《电子测试》2012,(8):43-46,51
现场可编程门阵列(FPGA)器件广泛用于数字信号处理领域,而使用VHDL或VerilogHDL语言进行设计比较复杂。提出一种采用FDATOOL工具和DSP Builder实现FIR滤波器的设计方案,按照MATLAB/Simulink/DSP Builder/QuartusII设计流程,使用FDATOOL工具可以实时调整滤波器的参数,采用DSP Builder设计了一个16阶FIR低通滤波器模型,并完成了仿真与验证,将模型转换生成VHDL代码,实现了基于FPGA的数字滤波器的设计。结果表明,该方法简单易行,易修改与移植,可满足设计要求,它验证了采用DSP Builder实现数字滤波器设计的独特优势。  相似文献   

12.
在数字中频的理论基础上,研究了数字中频的FPGA实现技术。包括NCO混频器、多速率信号处理(抽取和内插)模块、FIR滤波器模块在FPGA上的实现方式和结构。然后对数字中频系统中的各个模块利用MATLAB和DSP Builder进行了仿真,并使用QuartusⅡ编程实现。通过在软件上仿真数字中频系统,验证了本设计方案的正确性和可行性。  相似文献   

13.
张洋 《电子测试》2020,(4):21-22,97
数字AGC(自动增益控制)是数字中频接收中的重要辅助电路。数字中频接收机中设置AGC的目的,在于使接收机的增益随着信号的强弱进行调整,或者保持接收机的输出恒定在一定范围。通过利用数字AGC技术。采用Matlab/Simulink基于模型的设计方法,算法设计和仿真使用基于Simulink的数字信号处理模型库DSPBuilder,通过硬件在回路仿真,在FPGA中实现数字AGC,下载验证结果与仿真结果到达一致。  相似文献   

14.
基于FPGA的数字下变频(DDC)设计   总被引:1,自引:1,他引:0  
徐小明  蔡灿辉 《通信技术》2011,(10):19-21,24
数字下变频(DDC,Digital Down Conversion)是软件无线电系统的关键技术之一,其可将高频数据流信号变成易于后端数字信号处理器(DSP,Digital Signal Processor)设备实时处理的低频数据流信号。给出了一种基于现场可编程门阵列(FPGA,Field Programmable Gate Array)的数字下变频器的设计方案,并详细介绍了组成的下变频器的各个模块:数字振荡控制器(NCO,Numerical Controlled Oscillator)模块、混频模块、以及由积分梳妆(CIC,Cascaded Integrator-Comb)滤波器、半带(HB,Half-Band)滤波器、有限长单位冲激响应(FIR,Finite Impulse Response)滤波器级联而成的抽取滤波模块的设计方法。各个模块的仿真结果表明了设计的正确性,而最后系统仿真结果则表明文中数字下变频技术的设计具有其可行性和实用性。  相似文献   

15.
基于SOPC和DDS技术的介电电泳芯片控制系统设计   总被引:1,自引:1,他引:0  
介绍了一种利用SOPC和DDS技术控制介电电泳芯片的方案.通过FPGA的DSP开发工具DSP Builder对直接数字频率合成器(DDS)进行建模,在QuartusII软件中生成DDS IP核.以Altera公司的嵌入在FPGA(Cy-clonII EP2C35)中的RISC结构的CPU软核NiosII为基础,控制四相位DDS模块实现驱动行波介电电泳芯片所需的四相位正弦波频率、相位和幅度的数字预制和步进,使介电电泳芯片内形成行波介电电场,驱动生物粒子随行波作定向移动,达到分离不同生物粒子的目的.重点讨论了基于DSP Builder的DDS IP核设计,系统的软、硬件实现方法,并通过仿真分析证明了这种设计方法的正确性和实用性.  相似文献   

16.
针对基于FPGA的DSP技术,本文提出了一种基于DSP Builder的软件无线电调制器的设计方法,在DDS的理论基础上,采用DSP Builder软件,设计了具有FSK、PSK、ASK调制功能的数字中频调制器。文中讨论了调制载频的一般理论,并将推导出的相关理论结果运用到仿真调试中,最后在FPGA芯片上验证了调制器的系统功能。  相似文献   

17.
介绍了雷达信标源的结构组成及功能,提出了中频信号处理模块的总体设计方案,并对中频信号处理部分的距离延时和多普勒移频2个核心模块进行了FPGA的硬件设计和实现。时延模块采用了QuartusⅡ软件的原理图法进行设计,移频调制模块基于DSP Builder工具平台进行设计。仿真测试结果表明,设计实现的中频信号处理模块方案原理正确,达到了设计的要求。  相似文献   

18.
基于LMS的自适应去噪滤波器设计   总被引:2,自引:0,他引:2  
齐海兵 《信息技术》2006,30(6):87-89
讨论了自适应滤波去噪原理,采用LMS算法设计了自适应去噪滤波器,分析了MAT-LAB/SIMULINK中DSP Builder模块库在FPGA中的设计优点,最后应用DSP Builder模块库对自适应滤波器进行仿真。为自适应滤波器硬件实现提供了实验依据。  相似文献   

19.
基于子带分解的自适应滤波器在提高收敛性能的同时又可以节省一定的计算量。采用Altera 公司的仿真软件Altera DSP Builder 和Quartus Ⅱ7.2进行子带分解的NLMS算法的自适应滤波器现场可编程门阵列设计, 利用Simulink和ModelSim对设计方案进行了模型仿真和功能仿真,达到较好的效果。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号