首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The use of AZ 1350 family photoresists as negative electron resists is described. Conventional photolithographic technology is used to coat and process the resist, with the exception of an e-beam exposure for patterning. A flood UV exposure is used for image reversal. Using 1.5 µm initial thickness, the exposure threshold for 6 s development in 1 : 1 AZ : H2O developer is 7 µC/cm2. The resist contrast under these conditions is 1.3; and the sensitivity is about 25 µC/cm2(70% thickness remaining). Useful resolution on SiO2/Si and Al/SiO2/Si substrates is demonstrated to be at least 0.5 µm, and the resist is shown to mask the plasma etching of Al.  相似文献   

2.
微制造光刻工艺中光刻胶性能的比较   总被引:4,自引:0,他引:4  
在MEMS微加工和实验过程过程中,出于制造成本、光刻胶性能的考虑,需要选用合适的光刻胶.本文介绍了常用的正性胶和负性胶以及其曝光、显影的过程,正性胶和负性胶曝光过程漫射的图形缺陷.比较了正性胶和负性胶的各种性能以及各种光刻方式下选用的正负性胶及它们的光刻灵敏度,为微加工过程和实验操作提供指导.  相似文献   

3.
Positive optical photoresists have been used extensively in the semiconductor industry and in the manufacture of thin-film disk- and tape-drive heads. Mathematical models for the exposure and development phases of the lithography process have been well defined, but scant information on modeling of the pre-bake phase is available. A pre-bake model which describes the deterioration of the photoactive component in a positive optical resist is derived. A mass balance and the kinetics of the situation are used to describe the change in the Dill exposure model parameters. Experimental verification of the model is shown with three photoresists whose parameters are calculated for different wavelengths of light. The effect of the resist solvent on the exposure model parameters is discussed  相似文献   

4.
A lithographic process is described which involves electron-beam exposure of the small geometries of an integrated circuit pattern and optical exposure of the large geometries onto the same resist layer. A single development step produces both electron and optical images. With the use of a diazo-type resist, either positive or negative e-beam images can be obtained, so that suitable selection of the photomask tone allows complete flexibility in the choice of polarity of the composite pattern. Using AZ-2415, e-beam defined features as small as 0.4 µm joining large optically defined pads have been produced in doped polysilicon by plasma etching.  相似文献   

5.
New negative photoresists, called MRS-type resists, are successfully applied to deep-UV 1:1 projection printing and 365 nm 10:1 reduction projection printing. The MRS-type resists are characterized by intense absorption of exposure light and absence of swelling in aqueous developer solutions. They resolve steep profile submicron images in a 1.0 μ thick film. They are not adversely affected by reflected light from water surfaces. In order to use MRS-type resists with broader development latitude, optimizing the extent of light absorption is important because the resist profiles strongly depend on development conditions due to increasing solubility towards the resist bottom.  相似文献   

6.
The photochemical properties of a diazoquinone/novolak resist system were studied over a wide range of material and processing parameters in order to determine the optimum values for a given application. These resists are representative of the commercial positive-working photoresists being used for high-resolution lithography. The solubility of the resist in an alkaline developer depends on exposure E asDelta g^{-1} = [g_{0}(E/E_{e})^{m}]^{-1} + [Delta g_{infin}]^{-1}where the net development rate (Delta g) is the difference between solubility rates of the exposed (g) and unexposed (g0) sample. Three of these parameters characterize the lithographic response of the resist. They depend mainly on the resist composition but not on the processing conditions. Eeis a measure of the sensitivity and ranges from 10 to 20 mJ/cm2of 405-nm light for useful resist formulations. The contrast parameter (m) increases slowly with sensitizer concentration while the saturated solubility ratio (g_{infin}/g_{0}) inereases very rapidly. The fourth parameter (g0) depends strongly on processing parameters. It can readily be set to provide the desired development time, e.g., by adjusting the developer strength. On a more fundamental level, it is found that the dependence of the solubility on exposure can be expressed in a unified manner for all the resist formulations studied asg approx g_{0} exp (2 times 10^{-20} n_{e})where neis the number of exposed sensitizer molecules per cubic centimeter.  相似文献   

7.
The water-soluble conductive layer(WSCL) is ammonium poly (p-styrene sulfonate) having ionic conductivity and water solubility. The process consists of applying thin WSCL to the e-beam resist surface prior to the conventional exposure step. WSCL is subsequently removed and the e-beam resist developed in the ordinary way. The process has general utility for various resists, eliminating charging effects caused by -beam exposure  相似文献   

8.
The profile simulation of a negative deep UV resist, MRS (micro-resist for shorter wavelengths), is realized by application of the SAMPLE photolithography process simulator developed on the basis of the modeling of AZ-type positive photoresists. The absence of swelling permits MRS to use the same simulation algorithm as AZ-type resists. Two exposure parameters (A and B) successfully represent the intense light absorption of MRS, and the simulation traces the development process to show the unique undercut profile of MRS.  相似文献   

9.
A new method for the measurement of acid diffusion in chemically amplified resists is introduced. It is based on the measurement of the diameter of lithographic features (pillars for negative resists) obtained from single pixel e-beam exposures to determine the proximity function in a wide range of doses and PEB times. The method is applied in the measurement of the diffusion coefficients of two negative chemically amplified resists, the commercial resist SAL-601 (Shipley) and a prototype epoxy novolac based resist (EPR) developed at IMEL. The method directly provides proximity effect correction parameters for chemically amplified resists.  相似文献   

10.
《Microelectronic Engineering》2007,84(5-8):1109-1112
The effect of development temperatures on the performance of three mostly used e-beam resists, ZEP-520, HSQ and SAL-601 have been investigated. For the positive resist ZEP-520, the contrast increases significantly, but the sensitivity decreases, with the decreasing of the development temperature. On the other hand, the negative resist HSQ whose sensitivity decreases dramatically, but the contrast increases, with the increase of developer temperature. Unlike the negative resist HSQ, the sensitivity of the negative chemically amplified resist SAL-601 enhances with the increase of the developer temperature and the contrast decreases. Using high contrast process, we have achieved high dense patterns with e-beam lithography at low electron energy.  相似文献   

11.
The effect of high excimer-laser peak powers on the lithographic exposure process is quantitatively examined to investigate the reciprocity behavior of several photoresists. Using the bleaching of an absorption peak as the measure of resist response, it is found that there is no dependence of the resist sensitivity on the peak power of the radiation. Thus the higher UV intensity of excimer lasers can be fully exploited in faster exposures of the resists.  相似文献   

12.
The importance of 3D direct laser writing as an enabling technology increased rapidly in recent years. Complex micro-optics and optical devices with various functionalities are now feasible. Different possibilities to increase the optical performance are demonstrated, for example, multi-lens objectives, a combination of different photoresists, or diffractive optical elements. It is still challenging to create fitting apertures for these micro optics. In this work, a novel and simple way to create 3D-printed opaque structures with a highly absorptive photoresist is introduced, which can be used to fabricate microscopic apertures increasing the contrast of 3D-printed micro optics and enabling new optical designs. Both hybrid printing by combining clear and opaque resists, as well as printing transparent optical elements and their surrounding opaque apertures solely from a single black resist by using different printing thicknesses are demonstrated.  相似文献   

13.
A photoacid generating (PAG) monomer containing a sulfonium group was synthesized and its polymerization behavior was investigated by conducting homopolymerization and copolymerization with various methacrylates found in chemically amplified photoresists. The PAG homopolymer itself acted as a high sensitivity negative resist. The PAG/methacrylates copolymers functioned as novel chemically amplified (CA) resists with PAGs incorporated in the polymer chain. Due to absence of phase separation, the resists exhibited excellent film formation behavior. Preliminary results have shown that acid generation efficiency remained almost the same regardless of remarkably differing components and compositions in the PAG/methacrylates copolymers. Finally, their imaging properties were investigated by exposure to 248 nm deep‐ultraviolet (DUV) radiation.  相似文献   

14.
The TSI process enables a high resolution of lines and spaces in thick resists by e-beam direct writing. The realisation of irregular patterns requires the compensation of the pattern-related backscattering by a proximity correction. The scattering parameters were determined by a new method. The non-complete elimination of the flow effect by an aqueous presilylation development and the pattern-dependent deposited energy cause variable silicon profile angles and impede the accurate CD realisation of irregular patterns. We developed guidelines for the choice of the process parameters enabling the compensation of CD deviations and the preparation of irregular quarter micron patterns in 1.2μm thick resist.  相似文献   

15.
Morgan  R.A. Pollard  C.J. 《Electronics letters》1982,18(24):1038-1040
A nonthermal method of hardening positive photoresists by means of a scanned high-energy electron beam in preference to direct resist heating is described. It has been established that moderate electron doses produce significant resist cross-linking without flow. Plasma etch resistance can be enhanced in the resists with no degradation of the as-developed line profile.  相似文献   

16.
40 kV electron beam lithography has been used to pattern gold plated x-ray masks containing GBit DRAM complexity layouts. The two commercial e-beam resists used, namely PMMA and SAL 601, both showed 0.12 μm resolution capability in dense and large layouts patterning and also, under optimised exposure and development conditions, exhibited good exposure latitudes which were also evaluated for two different beam spot sizes. Futhermore, a study of development technique and effect of e-beam spot size indicated a marked dependence of ultimate resolution and exposure latitude on such parameters. A statistical analysis of 0.12 μm resolution SAL patterning on large chip dies (30 × 30 mm2) resulted in a dimensional control of 10 nm (3σ value).  相似文献   

17.
A new method has been established for the reproducible fabrication of high quality, metallic nanogaps on silicon chips suitable for liquid based nanometer scale devices. Realization of μm structures connected to nanogaps with gap sizes down to 30 nm has been achieved by a combination of an optical and an electron-beam (e-beam) lithography step using an optimised adhesion layer/metallic layer combination (Ti/Pt/Au—three layer combination) and an adopted two layer e-beam resist. The quality of the interconnects between optically and e-beam lithographically defined structures and the surface roughness of the gold nanogaps have been improved by a controlled temperature treatment. With this method the production of a variety of different gap shapes could be demonstrated. Specifically the lithographic structures have been successfully covered by a protection layer, except of a 200 nm×400 nm size access window located on top of the nanogaps, making it suitable for applications in liquid environment such as molecular and/or electrochemical metal deposition.  相似文献   

18.
Silicon-containing bilayer and trilayer photoresist technology is reviewed. Multilayer resist processes of this type rely on pattern generation in a thin imaging layer followed by pattern transfer to the thick planarising underlayer by oxygen reactive ion etching (RIE). The review concentrates on materials in which the silicon is an integral part of the polymer and does not specifically address photoresists where silicon is incorporated in a post-imaging process step (Such as top-surface-imaging resists). The review is not exhaustive but emphasizes instead specific examples of representative resist chemistry.  相似文献   

19.
Resists are radiation-sensitive materials used in the fabrication of integrated circuits (VLSI) for imaging the desired pattern onto the silicon wafer. Most resists in use today consist of polymeric solutions that are spin-coated onto the silicon wafer, exposed in a lithographic tool, developed, and completely removed after the pattern has been transferred to the substrate. This paper presents a historical development of resist materials, present uses of resists, and future requirements, dictated primarily by developments in lithographic tools.  相似文献   

20.
The vision of achieving a completely in-vacuum process for fabricating HgCdTe detector arrays is contingent on the availability of a vacuumcompatible photolithography technology. One such technology for vacuum photolithography involves the use of amorphous-hydrogenated Si (a-Si:H) as a photoresist. In this work, we deposit a-Si:H resists via plasma-enhanced chemical-vapor deposition (PECVD) using an Ar-diluted silane precursor. The resists are then patterned via excimer laser exposure and development etched in a hydrogen plasma where etch selectivities between unexposed and exposed regions exceed 600:1. To determine the best conditions for the technique, we investigate the effects of different exposure environments and carry out an analysis of the a-Si:H surfaces before and after development etching. Analysis via transmission electron microscopy (TEM) reveals that the excimer-exposed surfaces are polycrystalline in nature, indicating that the mechanism for pattern generation in this study is based on melting and crystallization. To demonstrate pattern transfer, underlying CdTe films were etched (after development of the resist) in an electron cyclotron resonance (ECR) plasma, where etch selectivities of approximately 8:1 have been achieved. The significance of this work is the demonstration of laser-induced poly-Si as an etching mask for vacuum-compatible photolithography.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号