首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
杨灿 《电子世界》2012,(18):102-102
本文主要论述了利用可编程逻辑器件FPGA进行测频计数和实施控制实现频率计的设计过程。该频率计利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的变化而变化的缺点。  相似文献   

2.
基于FPGA的等精度频率计的设计与实现   总被引:4,自引:1,他引:4  
莫琳 《现代电子技术》2004,27(10):81-82,84
利用等精度测量原理,通过FPGA运用VHDL编程设计一个数字式频率计,精度范围在DC~100MHz,给出实现代码和仿真波形。设计具有较高的实用性和可靠性。  相似文献   

3.
根据等精度测量原理,设计了一种基于FPGA和单片机的等精度频率计。系统主要包括信号预处理电路、单片机控制电路、FPGA测频电路和显示电路等。被测频率信号和标准频率信号经过整形放大处理后输入FPGA.单片机控制FPGA对两路信号进行计数并读取测频数据,单片机将读取的测频数据经过运算处理后显示。测试结果表明,该频率计实现了整个频率测量范围内的测量精度相等,测量精度高,稳定性好。  相似文献   

4.
周凯杰  曹培培 《电子世界》2013,(15):126-127
论文设计的等精度数字频率计,是以FPGA为核心,用IP核仿真单片机,大大缩减了设备复杂程度和成本,具有高度的灵活性,同时达到了较高的测量精度和测量速度。  相似文献   

5.
张洋 《电子测试》2020,(7):20-22
等精度频率计是在数字逻辑电路中的典型应用,它也是现代微电子领域中不可缺少的测量仪器。本设计就是根据等精度的测频基本原理,提出的整体设计方案。以FPGA芯片为核心电路,采用VHDL语言编写子电路程序组建出顶层原理图,通过运用QuartusⅡ软件,进行编译仿真,最后下载到实验电路板。依照实际中频率计的使用情况,设计了八位数码管显示的等精度频率计,能够提高频率测量的精准度,减少测量误差。  相似文献   

6.
为了克服传统频率测量法不能满足等精度要求的缺点,提出一种基于FPGA的高速等精度频率测量系统的设计方案。测试结果表明:该系统可以实现1Hz~100MHz频率范围内的频率测量,测量误差小于2×10-8,并且在整个频率范围内测量精度一致,达到等精度测量要求。  相似文献   

7.
本设计根据等精度的多周期同频测频原理,采用Altera公司的FLEX10K10系列现场可编程门阵列(FPGA)和AT89S51型单片机进行硬件电路的设计。各项实测表明,这种多周期同步测频法是正确,合理和可靠的。  相似文献   

8.
9.
介绍了一种利用EDA技术设计的数字频率计。目前流行的EDA软件平台是美国Altera公司的Max+P1usⅡ可编程逻辑器件开发系统。本文采用自顶向下的设计方法,对数字频率计的核心——十进制计数器和测频控制信号发生器进行设计,其特点是将数字频率计的电路集成在一块大规模可编程逻辑器件(FPGA)芯片上。该方法改变了以往数字电路小规模多器件组合的设计,而且设计周期短,内部电路模块具有可移植等特点。与用其他方法做成的频率计相比,其体积更小、性能更可靠。  相似文献   

10.
减少数字频率计的测量误差,提高测量精度是频率计设计的热点问题。文章中数字频率计采用了多周期同步测频法,从而保证了闸门信号与被测信号同步。克服了基于传统测频原理的频率计的测量精度随被测信号频率变化而变化的缺点,并消除对被测信号±1的测量误差,实现频率范围内的等精度测频方案。系统采用VHDL语言实现设计,有效提高了设计效率和系统的可靠性。  相似文献   

11.
针对传统测频技术的局限性,本文采用FPGA与MCU相结合的方式开发系统,实现等精度测频.通过充分利用FPGA测频速度快、多I/O口和MCU良好的人机接口和控制运算功能,使本系统具有结构紧凑、体积小、可靠性高、测频范围宽、精度高等优点.本系统不但具有较高的测量精度,而且能够在整个测频区域内保持恒定的测量精度.  相似文献   

12.
简易数字频率计的设计,采用FPGA实现对模数转换芯片A/Dtlc549的控制,对外来信号采样,实现信号从模拟到数字的转换,在单位时间内通过计数器的累加实现对频率的计数。该设计实现的频率精度为1Hz,测量范围为0~100MHz,经实际电路测试,仿真结果表明,该频率计具有较高的实用性和可靠性。  相似文献   

13.
沈磊  姚善化 《电子质量》2011,(3):27-28,31
用硬件描述语言VHDL对频率计系统进行设计,此程序在EDA软件平台Max+plus Ⅱ上编译仿真后,制作出其硬件电路板,再将程序下载到FPGA模块中实现.硬件设计中只需一个下载芯片EP2C5,剩余皆是输入输出部分.包括时钟和数码管驱动以及发光二极管,大大地简化了电路结构的复杂性,又提高了电路的稳定性.  相似文献   

14.
基于FPGA的多功能全同步数字频率计设计   总被引:1,自引:1,他引:1  
在分析比较现有测频方法优缺点的基础上,介绍全同步测频原理,给出采用AT89C51单片机实现控制,并通过FPGA芯片,在Max+PlusⅡ中运用VHDL语言编程,设计出一个多功能全同步数字式频率计。该设计可以兼顾频率计对速度、资源和测频精度等各方面的优化需求。  相似文献   

15.
基于FPGA的同步测周期高精度数字频率计的设计   总被引:4,自引:0,他引:4  
本文介绍了一种同步测周期计数器的设计,并基于该计数器设计了一个高精度的数字频率计。 文中给出了计数器的VHDL编码,并对频率计的FPGA实现进行了仿真验证,给出了测试结果。  相似文献   

16.
介绍了等精度测量频率的原理,利用Verilog硬件描述语言设计实现了频率计内部功能模块,对传统的等精度测量方法进行了改进,增加了测量脉冲宽度的功能;采用AT89S51单片机进行数据运算处理,利用液晶显示器对测量的频率、周期、占空比进行实时显示,可读性好.充分发挥FPGA(现场可编程门阵列)的高速数据采集能力和单片机的高效计算与控制能力,使两者有机地结合起来.在QuartusⅡ6.0 EDA(电子设计自动化)开发平台上进行仿真、测试,并最终下载到FPGA芯片内部.系统测量精度高,实时性好,具有很好的应用前景.  相似文献   

17.
杨守良 《现代电子技术》2005,28(11):118-120
现场可编程门阵列的出现给现代电子设计带来了极大的方便和灵活性,使复杂的数字电子系统设计变为芯片级设计,同时还可以很方便地对设计进行在线修改。本文以设计一个四位显示的十进制数字频率计为例,介绍了在一片FPGA芯片上实现多住数字频率计的设计方法和实现步骤,并且给出了仿真结果。在设计中,所有频段均采用直接测频法对信号频率进行测量,克服了逼近式换挡速度慢的缺点。所设计的电路通过硬件仿真,下载到目标器件上运行,能够满足实际测量频率的要求。  相似文献   

18.
基于NiosⅡ的等精度频率计设计   总被引:2,自引:0,他引:2  
采用NiosⅡ作为系统控制单元,辅以适当的软、硬件资源完成以FPGA为核心的等精度频率计设计。利用FP—GA对同步门的控制,使被测信号和标准信号在实际闸门时间内同步测量,实现了等精度频率测量,提高了测量精度。利用NiosⅡ技术开发的频率计具有硬件结构简单、性能稳定可靠的特点.并且可以灵活地实现定制应用。  相似文献   

19.
《无线电》2012,(10):55-57
将CPLD的高速数据采集能力与单片机的灵活运算、高效控制能力结合起来,发挥各自的专长,可制成一种计数频率达100MHz的低成本智能数字频率计。显示部分使用16×2字符型液晶显示器,可对测量的频率、周期进行实时显示(如有必要还可显示占空比)。  相似文献   

20.
辜自强  杨扬 《电子技术》2000,27(9):33-35
文章讨论了用AT89C51单片机来设计等精度宽范围频率计的方法。其中探讨了用外部扩展的硬件计数器而非单纯靠AT89C51内部定时器来产生定时信号的原因以及基准信号与待测信号的同步问题。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号