首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Rutherford Backscattering Spectrometry (RBS) has been employed for studying inter-layer diffusion and mixing of TiO2 and germanium in the multi-layers grown on the soda lime glass substrate. TiO2 layers were grown by electron-beam evaporation of titanium in an oxygen atmosphere and Ge layers were grown by resistive heating. UV–visible and Raman spectroscopy were carried out for optical characterization. RBS spectra were analyzed by SIMNRA which confirmed the successful formation of alternate layers of TiO2 and Ge with a thickness of 20 nm and 15 nm, respectively. Annealing caused interface mixing. The approximate stoichiometry of interfaces was of GeTiO2. The thickness of these layers increased with annealing temperature. Two to five top Ge layers also underwent oxidation to form GeO and GeO2, depending on annealing temperature. Nuclear Reaction Analysis (NRA) signal of oxygen from top layers was also recorded to confirm the pick-up of oxygen from the annealing atmosphere. An increase in the transmission efficiency with blue shift of the absorption edge was also observed with annealing temperature, as associated with the decrease in the effective thickness of Ge layers. Shift of Raman peaks confirmed the stoichiometric changes as a result of annealing.  相似文献   

2.
Zinc oxide (ZnO) films were prepared by ultrasonic spray pyrolysis on indium (In) films deposited by evaporation and subsequently subjected to rapid thermal annealing (RTA) in air or vacuum. The crystallographic properties and surface morphology of the films were characterized before and after RTA by X-ray diffraction and scanning electron microscopy, respectively. The variation in resistivity of the films with RTA temperature and time was measured by the four-point probe method. Auger electron spectroscopy (AES) was carried out to determine the distribution of indium atoms in the ZnO films. The resistivity of the ZnO on In (ZnO/In) films decreased to 2×10−3 Ω cm by diffusion of the In. Indium diffusion into the ZnO films roughened the film surface. The results of depth profiling by AES showed a hump of In atoms around ZnO/In interface after RTA at 800 °C, which disappeared on RTA at 1000 °C. The effects of temperature, time and atmosphere during RTA on the structural and electrical properties of the ZnO/In films are discussed.  相似文献   

3.
This work analyzes the effect of post-deposition rapid thermal annealing (RTA) on the crystal quality and the piezoelectric response of sputtered polycrystalline aluminium nitride (AlN) thin films. AlN films with mixed crystal texture were not significantly affected by RTA processing. However, in films exhibiting clear c-axis preferred orientation, the annealing produced a crystallization process, characterized by an increase in the grain size of the original crystallites, the growth of new small grains, and the reduction of defects. The improvement in the crystal quality was more evident in highly textured c-axis oriented films. However, the enhanced crystal quality of the films due to RTA was not accompanied by a significant improvement in the piezoelectric response. This is attributed to the presence of grains with opposite polarities that could not be rearranged through the RTA treatment.  相似文献   

4.
The magnetoresistance (MR) variation of Co/Cu/Co/CoNbZr spin valves as a result of rapid thermal annealing has been investigated. MR ratio of 3.8% was obtained in the as-deposited sample and a considerable increase to 6.86% was observed in the 450°C×60 s treated sample. Microstructure studies show that the enhancement of MR ratio is a consequence of the nano-crystallization of amorphous CoNbZr soft layer. The nano-crystallized CoNbZr possess fine and dense microstructure and excellent electrical and soft magnetic properties which leads to the MR enhancement. With increasing annealing temperature or annealing time, interface roughness caused by rapid grain growth decrease the MR ratio rapidly. XRD studies imply that the interfusion of Cu atom into the Co layer is another possible degradation mechanism of Co/Cu/Co/CoNbZr spin valves at annealing temperature beyond 550°C.  相似文献   

5.
InAs作为III-V族化合物半导体材料,可以应用于磁阻和霍尔元器件、量子点激光器元件、太阳能电池和红外探测器元件等方面,具有广泛的研究和应用前景.本文以Si(211)为衬底,采用热壁外延(hot wall epitaxy,HWE)技术制备了InAs薄膜,研究热循环退火(thermal cycle annealing,TCA)次数对InAs/Si(211)薄膜结构及电学性能的影响.热壁外延制备InAs薄膜的衬底温度为400℃,生长时间为4 h,不同的热循环退火次数为2、4、6、8、10.X射线衍射(XRD)测试表明:利用HWE技术在Si(211)衬底表面成功制备了闪锌矿结构的InAs薄膜,且沿(111)取向择优生长;TCA能够明显增强Si(211)衬底表面生长的InAs薄膜的择优取向.扫描电子显微镜(SEM)及原子力显微镜(AFM)测试分析表明:随着TCA次数增加到6次,InAs/Si(211)薄膜表面由于晶粒细化作用变得均匀平整,表面粗糙度从69.63 nm降低到56.43 nm,此时霍尔迁移率达到2.67×10~3cm~2/(V·s);过多的退火次数(≥8次)又会使薄膜表面的晶粒过大、缺陷增多,导致薄膜性能下降.  相似文献   

6.
Thermal evaporation deposited vanadium oxide films were annealed in air by rapid thermal annealing (RTP). By adjusting the annealing temperature and time, a series of vanadium oxide films with various oxidation phases and surface morphologies were fabricated, and an oxidation phase growth diagram was established. It was observed that different oxidation phases appear at a limited and continuous annealing condition range, and the morphologic changes are related to the oxidation process.  相似文献   

7.
Long-term stable p-type ZnO films were grown by atomic layer deposition on semi-insulating GaAs substrates and followed by rapid thermal annealing (RTA) in oxygen ambient. Significant decrease in the electron concentration and increase in the hole concentration, together with the intensity enhancement of acceptor-related AoX spectral peak and the shift of bound exciton peak from DoX to AoX in the low-temperature photoluminescence spectra, were observed as the RTA temperature increased. Conversion of conductivity from intrinsic n-type to extrinsic p-type ZnO occurred at the RTA temperature of 600 °C. The p-type ZnO film with a hole concentration as high as 3.44 × 1020 cm− 3 and long-term stability up to 180 days was obtained as the RTA treatment was carried out at 700 °C. The results were attributed to the diffusion of arsenic atoms from GaAs into ZnO as well as the activation of As-related acceptors by the post-RTA treatment.  相似文献   

8.
Phase evolution during the synthesis of Cu(InGa)Se2 from glass/Mo/(In1 − xGax)2Se3/CuSe bilayer precursors were investigated by in-situ high-temperature X-ray diffraction. With Se overpressure, CuSe was transformed to CuSe2 at 220 °C. The CuSe2 phase returned to CuSe by releasing Se at its peritectic point of 330 °C, where the formation of Cu(InGa)Se2 phase was initiated as well. Rapid thermal processing of bilayer precursors showed the potential of fast formation of Cu(InGa)Se2 within 2–5 min reaction with fairly uniform Ga and In depth profile. Further annealing with Se overpressure caused the formation of MoSe2 at the interface of Mo and Cu(InGa)Se2.  相似文献   

9.
Abstract

We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO2 films implanted by different doses of Si+ ions. Room-temperature PL from 400-nm-thick SiO2 films implanted to a dose of 3×1016 cm?2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950–1150 °C) and duration (5–20 s). The reported approach of implanting silicon into SiO2 films followed by RTA may be effective for tuning Si-based photonic devices.  相似文献   

10.
In this work, a combination of oxygen plasma and rapid thermal annealing was suggested in order to oxidize the surface of titanium into TiO2. A plasma was formed by employing pure oxygen at 150 W, 300 W, and 400 W under a pressure of 7.5 to 8.5 Pa for 5 to 10 min. The TiO2 was then subjected to rapid thermal annealing (RTA) at a temperature of 400 to 500 °C for 1 min. From the attained results, an RF power of 300 W for 5 min was observed to be sufficient to produce an optimal photocatalytic TiO2 film. Optimal conditions were confirmed by additional experiments involving humic acid (HA) degradation of the TiO2 films. When compared to a traditional TiO2 film, a TiO2 film prepared with an oxygen-plasma treatment and RTA system exhibited improved photocatalytic capability for HA photodegradation in an aqueous solution. Therefore, this process proposed in this work can be an excellent alternative to the traditional method for fabricating photocatalytic TiO2 films.  相似文献   

11.
Thin films of polycrystalline β-FeSi2 were grown on (100) Si substrates of high resistivity by electron beam evaporation of Si/Fe ultrathin multilayers and subsequent annealing by conventional vacuum furnace (CVF) and rapid thermal annealing (RTA) for 1 h and 30 s, respectively, in the temperature range from 600 to 900°C. X-ray diffraction, Raman spectroscopy, spectroscopic ellipsometry, resistivity and Hall measurements were employed for characterization of the silicide layers quality in terms of the annealing conditions. For the silicide layers prepared by CVF annealing, although the grain size increase with increasing the annealing temperature, the optimum temperature to obtain the higher material quality (carrier mobility of the order of 100 cm2 Vs−1 and carrier concentration of about 1 × 1017 cm−3) is about 700°C. At higher annealing temperatures, the quality of the material is degraded due to the presence of the oxide Fe2O3. In the case of the silicides prepared by RTA, the quality of the material is improved progressively with increasing the annealing temperature up to 900°C.  相似文献   

12.
This study examines the epitaxial growth of the intermetallic compound (IMC) of Cu6Sn5 (or (Cu,Ni)6Sn5) that forms at the interface between molten Sn-based lead-free solders and non-textured polycrystalline Cu substrates. Sn, Sn–Cu, Sn–Cu–Ni and Sn–Ag–Cu solders were investigated. The dominant growing planes in a hexagonal structure of this IMC on Cu substrates are (101) and (102). Addition of trace Ni into Sn–Cu solders leads to an increase in (101) growth and a decrease in (102) growth. The presence of Ag in Sn–Ag–Cu solders facilitates (102) growth and suppresses (101) growth. Such an epitaxial growth should have a large influence on the mechanical and electrical characteristics of the Sn-based solder/Cu joints.  相似文献   

13.
Low-energy electron diffraction (LEED), Auger electron spectroscopy and X-ray photoelectron spectroscopy (XPS) investigations of both the growth of an iron film on silicon (100) at room temperature and the subsequent formation of iron silicide are the subjects of this paper. An in-situ cleaned silicon (100) wafer without carbon or oxygen contamination exhibiting the known 2 × 1 reconstruction in the LEED pattern served as the substrate. Iron was deposited on this reconstructed surface at 300 K. The comparison of theoretical calculations based on three growth mechanisms with XPS data obtained with take-off angles of 0° and 50° clearly demonstrates a layer-by-layer growth of the iron film on silicon (100). At 300 K no formation of iron silicide was observed, although an interaction between iron and silicon could be detected at the interface. The formation of iron silicide was observed at annealing temperatures of 630–730 K. Quantitative XPS analysis yields the presence of FeSi2, when the thickness is large enough. Neither the iron film on silicon nor the silicide shows any LEED pattern.  相似文献   

14.
The heteroepitaxially grown yttrium oxide layer by an ionized cluster beam (ICB) on a Si(100) substrate was investigated by Rutherford backscattering spectrometry (RBS)/channeling. The channeling minimum value (χmin) of the Y2O3 layer on Si(100) is 0.28, and this is the smallest value among those reported. From the channeling polar plots, it is found that Y2O3 film grown on Si(100) oriented with (110) direction and has a double domain structure. The 110 axis of Y2O3 layer is exactly parallel to the 100 axis of the Si substrate. It is also observed that the interface region of Y2O3 film has more crystalline defects than the surface region.  相似文献   

15.
Rapid thermal sulfurization of metallic precursors has proven to be a successful method for the preparation of Cu(In,Ga)S2 based solar cells. However, during the sulfurization, several problems can be encountered. Due to the difference in reaction rates between ternary sulfides, the process can result in absorbers with a layered CuInS2/CuGaS2 structure or slow and incomplete sulfurization that leads to samples where an unreacted Cu-Ga metallic phase remains at the back of the sample. The formation kinetics of single phase Cu(In,Ga)S2 is a complex process which depends on several parameters. In this work, we focus on the influence of precursor stacking and investigate the growth of Cu(In,Ga)S2 thin films using scanning electron microscopy and X-ray diffraction. It is observed that precursor alloying occurs prior to sulfurization and that the Cu(In,Ga)S2 compound is formed by the interdiffusion of the ternary CuInS2 and CuGaS2 phases. Correlation between the structural properties of the precursors/absorbers and the obtained solar cells is made.  相似文献   

16.
We report the growth of thin films of ferromagnetic Heusler alloy Co2TiSn on Si (100) substrate using KrF excimer pulsed laser ablation. Films of thicknesses ranging from 8 to 220 nm were deposited on Si (100) substrate heated up to 200 ± 10 °C, with an aim to study the structural, morphological and magnetic properties. The grown films are off-stoichiometric, polycrystalline, having single-phase with high degree of (220) texturing. Angle dependent fluorescence measurements suggest no segregation of alloying elements as a function of depth. X-ray reflectivity measurements indicate that all the films are having low density layer at the top as well as at the film-substrate interface. Magneto optical Kerr effect measurements at room temperature reveal clear hysteresis loops suggesting ferromagnetic behavior of the films. Thermal annealing at temperature ≥ 220 °C suggest transformation of Co2TiSn phase into cobalt silicide phase, which confirms the necessity of low substrate temperature (< 220 °C) to produce such single-phase Co2TiSn films.  相似文献   

17.
We studied Ge nanocrystals (nc-Ge) formed by bombarding Ge(100) surface with N2+ gas followed by rapid thermal annealing (RTA). After initial N2+ implantation, near-edge x-ray absorption fine structure and x-ray photoelectron spectroscopy (XPS) data showed formation of molecule-like N2 species and chemically metastable Ge nitrides (GeNx). The RTA transformed these into hemispherical nc-Ge of 10-25 nm in the diameter as clearly seen in transmission electron microscope images. XPS confirmed that the surface of the nc-Ge was covered with Ge3N4 layer and underlying layer is also mostly likely Ge3N4. This simple process of forming isolated nc-Ge with Ge3N4 surrounding layer can be useful in non-volatile memory applications.  相似文献   

18.
(Ag3AsS3)0.6(As2S3)0.4 thin films were deposited using rapid thermal evaporation (RTE) and pulse laser deposition (PLD) techniques. Ag-enriched micrometre-sized cones (RTE) and bubbles (PLD) were observed on the thin film surface. Optical transmission spectra of the thin films were studied in the temperature range 77–300 K. The Urbach behaviour of the optical absorption edge in the thin films due to strong electron–phonon interaction was observed, the main parameters of the Urbach absorption edge were determined. Temperature dependences of the energy position of the exponential absorption edge and the Urbach energy are well described in the Einstein model. Dispersion and temperature dependences of refractive indices were analysed; a non-linear increase of the refractive indices with temperature was revealed. Disordering processes in the thin films were studied and compared with bulk composites, the differences between the thin films prepared by RTE and PLD were analysed.  相似文献   

19.
In this study we report the optical, spectroscopic, and structural properties of vacuum deposited tris (8-hydroxyquinolinate) gallium film upon thermal annealing in the temperature range from 85 °C to 255 °C under a flowing nitrogen gas for 10 min. The optical UV–vis–NIR and luminescence spectroscopy measurements were performed to estimate the absorption bands, optical energy gap (Eg), and photoluminescence (PL) of the films. Fourier transform infrared (FTIR) spectroscopy and X-ray diffraction (XRD) techniques were used to probe the spectroscopic and structural nature of the films. We show that, by annealing the films from 85 °C to 235 °C, it is possible to achieve an enhanced absorption and increased photoluminescence to five times stronger than that of the pristine film. The PL quenching at 255 °C was attributed to the presence of plainer chains allow easy going for excitons to a long distance due to the crystalline region formation of α-Gaq3 polymorph. The reduction in Eg and infrared absorption bands upon annealing were referred to the enhancement in π–π interchain interaction and conformational changes by re-arrangement of the Gaq3 quinolinate ligands, respectively. Stokes shift for the films were observed and calculated. From the differential scanning calorimetry, DSC measurements, higher glass transition temperature was observed for Gaq3 (Tg = 182 °C) compared to that of Alq3 (Tg = 173 °C), which suggests the existence of stronger dipolar interaction in Gaq3 due to the Ga3+ cation effect, in comparison to that of Alq3.  相似文献   

20.
The synthesis and purification of zinc mercury thiocyanate, ZnHg(SCN)4 (ZMTC), are described. The identity of the synthesized compound was characterized by elemental analysis, X-ray powder diffraction, infrared, Raman, and UV/Vis/NIR transmission spectra. The thermal stability and thermal decomposition of ZMTC crystal were investigated by means of thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) measurements. The intermediates and final products of the thermal decomposition were identified by X-ray powder diffraction (XRPD).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号