首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
《Microelectronics Journal》2003,34(5-8):651-653
In this work, we use the thick layer of polymethylmethacrylate polymer, for micromachining development. In the development of the structures, a three layer process is used. In a silicon wafer is deposited the thick layer spin coating. Over this layer is deposited a thin layer of silicon. The third layer is 1.5 μm of e-beam resist deposited by spin coating. After the deposition of the layers, we perform the e-beam lithography in the top layer resist. This pattern is transferred by plasma etching for the silicon layer. The resolution limits of this process is the resolution of the electron resist and is increased to 0.25 μm (nanometric resolution), using an electron beam spot size of 50 nm and dry development.  相似文献   

2.
Optical and X-Ray proximity printing systems are resolution limited by diffraction and beam dispersion. Parallel dispersion free ion beam systems are therefore ideal to transfer stencil mask patterns onto all sorts of nonideal substrates. A feasibility study was performed with the existing Alpha ion projector of the Society for the Advancements of Microelectronics in Austria operated in the MIBL (Masked Ion Beam Lithography) mode with ≈ 10×10 mm2 exposure field. Structures as small as 0.2 μm in diameter could be transfered even with a gap of 1 mm between stencil mask and substrate. The widening of resist lines with 10% increase in dose was evaluated to be 14 nm for 2800 μm gap and 4 nm for 300 μm gap. This excellent exposure latitude favourably compares with synchrotron based X-ray lithography, where a widening of 20 nm with 10% overexposure has been reported for a 40 μm gap, and 10 nm for 10 μm gap. Promising applications of the MIBL technique include the fabrication of flat panel displays based on vacuum electronics (field emitter displays), surface acoustic wave and microoptic devices and - in combination with reactive ion etching - the fabrication of micro electro mechanical systems (MEMS). Prospects for MIBL steppers of printing fields > 100×100 mm2 are discussed.  相似文献   

3.
The requirements imposed by optical proximity correction (OPC) on mask making, i.e. pattern generation, are addressed. Dry etching of MoSi is proposed to deal with the need for improved resolution. E-beam proximity correction (EPC) is used for more accurate linewidth control. An appropriate pre-correction fracturing technique is presented. A MoSi based embedded phase shift mask (5X) has been produced with a critical dimension of 0.3 μm using OPC corrected data. Highly accurate linewidth control was achieved by the reduction of the e-beam proximity effect and linearity errors to less than 3%. The effect of OPC and EPC on mask making throughput are discussed. An alternative OPC strategy is suggested that is more compatible with exposure grid requirements.  相似文献   

4.
The authors review the limits of nanometer-scale gate electrode (nano-gate) fabrication. The technology to fabricate nano-gates has become increasingly important in recent years as the scaling limits of conventional electronic devices and the quantum effects of novel devices are investigated. Consistent with the technology used to fabricate virtually all of the smallest devices to date, the emphasis is on the resolution limits of electron beam lithography and associated ultrahigh resolution resists. Recent results of directly patterning SiO2 with nanometer-scale resolution by e-beam exposure through a sacrificial layer are also presented. Because the high resistance normally associated with nanometer-scale electrodes seriously limits the performance of high-frequency devices, various techniques to reduce the gate resistance are compared  相似文献   

5.
The use of AZ 1350 family photoresists as negative electron resists is described. Conventional photolithographic technology is used to coat and process the resist, with the exception of an e-beam exposure for patterning. A flood UV exposure is used for image reversal. Using 1.5 µm initial thickness, the exposure threshold for 6 s development in 1 : 1 AZ : H2O developer is 7 µC/cm2. The resist contrast under these conditions is 1.3; and the sensitivity is about 25 µC/cm2(70% thickness remaining). Useful resolution on SiO2/Si and Al/SiO2/Si substrates is demonstrated to be at least 0.5 µm, and the resist is shown to mask the plasma etching of Al.  相似文献   

6.
A fully vectorial 3D beam propagation method (BPM) has been applied to obtain a required pattern of computer generated hologram (CGH) with a variable profile of four phase levels. The computer reconstruction of the CGH image having one and two focal spots was performed by application of the fully vectorial 3D BPM method. After transferring the CGH by EBL technique an adequate phase profile was obtained. Inter-level parameter method was developed to obtain the estimated an electron beam dose required for the even topographical patterning. Using this method, an EBL exposure dose determined to achieve the required relief amplitude of 1.29 μm was 43 μC/cm2. The manufactured holograms showed that the overall proposed production process, from the 3D BPM computer simulation to e-beam lithography, can be used to obtain good quality product with reasonable time and computational resources.  相似文献   

7.
The TSI process enables a high resolution of lines and spaces in thick resists by e-beam direct writing. The realisation of irregular patterns requires the compensation of the pattern-related backscattering by a proximity correction. The scattering parameters were determined by a new method. The non-complete elimination of the flow effect by an aqueous presilylation development and the pattern-dependent deposited energy cause variable silicon profile angles and impede the accurate CD realisation of irregular patterns. We developed guidelines for the choice of the process parameters enabling the compensation of CD deviations and the preparation of irregular quarter micron patterns in 1.2μm thick resist.  相似文献   

8.
A high resolution e-beam lithography instrument has been developed consisting of an STEM (Zeiss EM 910) equipped with a laser interferometer stage, an electrostatic beam blanker, and “ELPHY Plus”. This new system now allows to perform high voltage e-beam lithography on small samples with highest resolution and precise stitching.  相似文献   

9.
We have developed a novel chemically amplified deep-UV photoresist called ARCH2. ARCH2 displays a resolution of<0.23μm with a DOF of 1.0μm at 0.25μm. This material also displays superior time delay stability (>8 hours). The post exposure bake (PEB) temperature was varied from 100°C to 120°C and the PEB time was varied from 60s to 180s. This had very little effect on the CD of the resist profiles. Preliminary etching experiments in a conventional reactive ion etcher were then carried out using CF4 to etch TiN. In these experiments the ARCH2 etched at a similar rate as conventional Novolac.  相似文献   

10.
Accurate nano-EB lithography for 40-nm gate MOSFETs   总被引:1,自引:0,他引:1  
Nanometer electron beam lithography has been used for fabrication of sub-0.1 μm MOSFETs. Chemically amplified resist as a single layer mask showed high resolution by optimizing the resist process. Proximity effect correction was applied and showed a good line width control. Operation of a 40nm-polysilicon gate NMOSFET was confirmed.  相似文献   

11.
In this paper TiNx (x > 1.3) as a new material suitable for using as an embedded layer for an attenuated phase shift mask (APSM) is presented. TiNx thin film was formed by plasma sputtering under a gas mixture of Ar and N2 (40:2 sccm). The related characteristics of TiNx at 365 nm (i-line) wavelength are as follows: n (refractive index) 3.07; k (absorbance coefficient) 0.531; R (reflectivity) 2730%; (resistivity) 52 μΩ-cm (132 nm on quartz). For required phase shift degree θ = 180°, calculated thickness d of TiNx film is 88.2 nm, and transmittance T under 365 nm wavelength at this thickness is 14.5 % which is within the useful range for APSM. TiNx film also has good electrical conductivity, suitable for e-beam direct-write in patterning mask.  相似文献   

12.
The replicated pattern distortion for feature sizes down to 0.15 μm, characterized by pattern linearity, pattern density dependence, and corner rounding, is investigated in synchrotron radiation (SR) lithography. In the investigation, a highly accurate X-ray mask delineated by a focused ion beam, a chemically amplified resist, and a Fresnel diffraction model simulator are used. At a 15 μm mask-to-wafer gap, no pattern proximity effect is observed. The corner rounding radius due to Fresnel diffraction is approximately 0.04 μm.  相似文献   

13.
Broad-band extreme ultraviolet (1340nm) lithography (EUVL) has been examined. Exposure intensity at the broad-band of 1340nm was 20 times larger than at the conventional narrow-band of 13nm. Moreover, broad-band EUVL with a wet-silylated and dry-developed resist process has been investigated in order to obtain a high resolution of 0.1μm with high-aspect-ratio. Imaging experiments were performed using 32:1 reduction Schwarzschild optics illuminated with a synchrotron radiation light source from SORTEC ring. The exposure was done through a 0.1μm-thick SiN vacuum window, Mo/Si multilayer coated optics and without a Be filter. Silylation characterization and determination of optimum composition of the silylation solution have been performed using Fourier transform infrared spectroscopy (FTIR). Using broad band EUVL with the optimal wet-silylated and dry-developed resist, 0.1μm lines and spaces of 0.55μm-thick resist (aspect RATIO = 5.5) can be successfully delineated.  相似文献   

14.
Deep X-ray lithography with synchrotron radiation is a powerful tool to generate threedimensional microstructures with high aspect ratios. In order to complement and enhance the normal shadow printing with methods to generate true threedimensional structures, we investigated the aligned multiple exposure process to produce step-like LIGA structures also involving the sacrificial layer technique to obtain movable structures. Irradiations have been performed utilizing a newly developed X-ray Scanner (JENOPTIK GmbH) with internal optical alignment system.

Multiple exposure requires the alignment of mask and substrate. We used silicon nitride mask membranes with 10 μm thick gold absorber patterns, which show excellent transmission in the visible light range for alignment purposes and good X-ray transparency in the hard X-ray regime.

The first results of double exposure experiments obtained with the scanner prototype are promising. With the exception of a systematic error an alignment accuracy of σx = 0.26 μm and σy = 0.4 μm (standard deviation) has been achieved. Present work concentrates on the improvement of the adjustment system.

All the exposures have been carried out at the BESSY wavelength shifter (Berlin/Germany).  相似文献   


15.
The purpose of this investigation is to demonstrate the capability of using D.U.V resist XP9493 from Shipley for E-BEAM applications. The mains parameters which have been checked are, a high resist sensitivity to get a lower exposure time coupled with a sub micron resolution and a sufficient process window. The softbake value which optimizes the dose (best throughput) to stability (process window) ratio is 100°C/60s. The Post Exposure Bake variation shows the Dose to clear (D0) to decrease when increasing the temperature, however “resist loss” becomes a problem over 125°C. The contrast is adequate for a E-beam application (γ9). The linearity measured on contacts is good in the range of 0.8μm to 2.0μm. The profile is adequate (i.e vertical) in a 1.4μm thick resist for a dose of 6μC/cm2; a higher dose would generate “uncontrolled size of contact”, a lower dose could generate “closed contacts”. The throughput earning should be 30% for the referenced implantation levels.

The resist XP9493 from SHIPLEY seems to be a good candidate for Implantation and Contact levels production application. This is the second Deep-UV positive resist tested from Shipley, on the AEBLE 150s (column 20kV), the first was the XP9402. The to-date results are the most promising ever obtained at ES2 with a positive tone resist for E-Beam applications.  相似文献   


16.
The DC performance of AlGaN/GaN high electron mobility transistors grown by plasma-assisted molecular beam epitaxy was investigated for gate lengths in the range 0.1–1.2 μm. On 0.25 μm gate length devices we obtained 40 VDS operation with >50 mA peak ID. The peak drain current density was 0.44 A/mm for 100 μm gate width devices with 1.2 μm gate lengths. The extrinsic transconductance (gm) decreased with both gate length and gate width and was 75 mS/mm for all gate widths for 0.25 μm devices. E-beam written gates typically produced a slightly lower Schottky barrier height than optically patterned gates.  相似文献   

17.
A simple method for high resolution (<100nm) lithography is reported. We use electrons with energies ranging from 100–300eV emitted by tungsten field emission tips for proximity printing of stencil masks. A comparison with other parallel fine line techniques, like proximity printing and projection lithography with x-rays, high energy electrons or ions, reveals the specific advantages and restrictions of our method.

The masks are made of ≈ 100nm thick silicon membranes structured by e-beam lithography and reactive ion etching (RIE). Free standing gratings with periods down to 100nm serve as test patterns for proximity printing with gaps of ≈15μm. due to the short penetration depth of the low energy electrons, ultrathin resist systems are needed. We have chosen self-assembled monolayers (SAMs) of hexadecane thiol on gold. The monolayer resist is degraded by the exposure, the structures can be transferred by wet chemical etching. Periodic gold structures below 100nm lines and spaces have been generated this way. It was found, that the SAM resist of hexadecane thiol can be used as a positive or negative tone resist depending on the exposure dose.  相似文献   


18.
Ultra-thin (20-100nm) polymethylmethacrylate(PMMA) films prepared by Langmuir-Blodgett techniques have been explored as high resolution electron beam resists. A Hitachi S-450 Scanning Electron Microscope (SEM) has been refitted for a high resolution electron beam exposure system. The lithographic properties and exposure conditions of LB PMMA films were investigated. 0.15μm lines-and-spaces patterns were achieved by using the SEM as the exposure tool. The results demonstrate that the etch resistance of such films is sufficiently good to allow patterning of a 20 nm aluminum film suitable for mask fabrication.  相似文献   

19.
AlGaAs quantum wires are naturally formed by the compositional modulation in an AlGaAs layer on vicinal GaAs (110) surfaces with quasi-periodic giant growth steps by molecular beam epitaxy. We put an Al mask with a 0.3 μm wide slit on the sample surface to get the single quantum wire's photoluminescence (PL). Two sharp PL bands are observed through this slit and are concluded to come from the two single quantum wires. Cathodoluminescence (CL) spot spectra with a small excitation area show the fine structures with several sharp lines which originate from different quantum wires. The monochromatic CL images clearly show the individual single quantum wires.  相似文献   

20.
The scattering properties of low-Z high-density materials are discussed, through Monte Carlo simulation, in view of their utilization as membranes for X-ray masks. The interplay between low atomic number and high atomic density is discussed and a comparison with silicon is carried out, both in case of bulk targets and membranes. The low Z causes smaller proximity effects, but the decisive factor relative to silicon is constituted by the higher mechanical stiffness, which, in principle, allows to greatly reduce membrane thickness. However, we show that, in spite of the more favourable intrinsic scattering properties, the choice of these materials, in actual systems, is not always a real advantage. In the analysis of the single-layer resist process for X-ray mask fabrication, no significant difference relative to Si is found in the two limits of low and high energy. On the contrary, the choice of low-Z high-density materials is found to be advantageous at intermediate energy. In particular, we demonstrate that, while in the case of low-Z high-density materials, 0.15 μm resolution is successfully obtained already at 30 keV, in case of Si, this same resolution is only reached at e-beam energies of at least 40 keV.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号