首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Silicon membranes with 2 μm to 6 μm thickness and ≈ 10×10 mm2 mask field have been fabricated with the help of electrochemical etch stop techniques. The Si foil was coated with 0.3 μm thick PECVD Si3N4. Shaped electron beam lithography was done in ARCH (OCG) positive resist. RIE etching into the nitride layer was done with CHF3/Ar/SF6. Silicon trench etching was based on Cl2/Ar/BCl3 plasma chemistry implementing gas chopping. Ion beam proximity printing of the Silicon stencil mask structures was done with 55 keV Helium ions into 0.4 μm thick AZ PN114 negative resist using the Alpha ion projector of the Society for the Advancements of Microelectronics in Austria in the MIBL (Masked Ion Beam Lithography) mode. Pattern transfer of a mask feature of less than 100 nm diameter (25:1 aspect ratio in the stencil mask) could be demonstrated even for a mask to wafer gap of 1 mm. The prospects of fabricating large area (> 100×100 mm2) Silicon stencil masks for MIBL printing of gate levels for ystems (MEMS) is discussed.  相似文献   

2.
AlGaAs/GaAs ridge waveguides with fundamental mode attenuation ≤ 1 dBcm−1 at a wavelength of 1.32 μm and channel widths of 4–4.5 μm are realized by ECR (Electron Cyclotron Resonance) plasma etching in BCl3/Cl2/Ar/N2 chemistries. The choice of both plasma chemistry and initial mask scheme (single layer photoresist or trilevel resist) has a significant effect on the attenuation losses.  相似文献   

3.
Optical and X-Ray proximity printing systems are resolution limited by diffraction and beam dispersion. Parallel dispersion free ion beam systems are therefore ideal to transfer stencil mask patterns onto all sorts of nonideal substrates. A feasibility study was performed with the existing Alpha ion projector of the Society for the Advancements of Microelectronics in Austria operated in the MIBL (Masked Ion Beam Lithography) mode with ≈ 10×10 mm2 exposure field. Structures as small as 0.2 μm in diameter could be transfered even with a gap of 1 mm between stencil mask and substrate. The widening of resist lines with 10% increase in dose was evaluated to be 14 nm for 2800 μm gap and 4 nm for 300 μm gap. This excellent exposure latitude favourably compares with synchrotron based X-ray lithography, where a widening of 20 nm with 10% overexposure has been reported for a 40 μm gap, and 10 nm for 10 μm gap. Promising applications of the MIBL technique include the fabrication of flat panel displays based on vacuum electronics (field emitter displays), surface acoustic wave and microoptic devices and - in combination with reactive ion etching - the fabrication of micro electro mechanical systems (MEMS). Prospects for MIBL steppers of printing fields > 100×100 mm2 are discussed.  相似文献   

4.
Manufacturable etch processes for 0.18 μm technology TEOS bi-level contacts and vias (TEOS or TEOS/FOX/TEOS) are demonstrated in a low pressure high density reactor. Good CD control and high yields are demonstrated for structures down to 0.25 μm. In the process regimes used, the photoresist etch rate and the selectivity to underlayer are correlated with the amount of free fluorine in the plasma. The same TCP 9100 reactor can be used for low k polymer (Silk™ from Dow Chemical) etching with in situ hardmask open. A compromise between hard mask facetting and bowing has to be made unless passivating gases are added to an O2/N2 chemistry. For several architectures, initial results show potential integration with Cu.  相似文献   

5.
An improved process for semitransparent mask lithography is described. The uniformity of mask transmittance is increased by adapting the electron beam exposure field size to a binary multiple of the pattern period. In addition surface roughness of resist patterns is reduced by exposure of the resist-coated sample to an acetone atmosphere which results in negligible micro roughness.

Using this process waveguide tapers employing a symmetric layer structure were fabricated. The tapers exhibit fiber-chip coupling losses of 1.6 dB after Fresnel correction and lateral alignment tolerances of ±2.2 μm for 1 dB excess loss. These values are comparable to results obtained for waveguide tapers fabricated using direct write electron beam lithography. This proves the described process to be suitable for the fabrication of relief type structures for optoelectronic integrated circuits.  相似文献   


6.
The structural effects of heating 1500 Å Au/GaAs (001) encapsulated with 2000 Å of SiO2 were examined by scanning electron microscopy and X-ray diffraction. It was observed that SiO2/Au/GaAs (capped) in vacuum up to 500°C remained shiny and gold in color, whereas similar heating of Au/GaAs (uncapped) caused a change of color from shiny gold to dull silver. Furthermore, mass spectroscopy showed that the amount of arsenic vapor evolved was much less for the capped sample. However, X-ray diffraction showed that Au7Ga2 formed abundantly in both types of samples after heating at 500°C, though the epitaxial relationship was mainly Au7Ga2 (001) GaAs (001) for capped and Au7Ga2 (100) GaAs (001) for uncapped. SEM revealed gold-rich aligned rectangular protrusions on the surfaces of SiO2/Au/GaAs as well as Au/GaAs after heating at 500°C, though the average length of these rectangles was 1.5 μm for the capped sample and 6.7 μm for the uncapped sample. Moreover, new morphological features absent in Au/GaAs were observed in SiO2/Au/GaAs. These features are a gold-rich maze with a line width of μm and gold-rich protruded lines with a line width of 9 μm. The gold-rich protruded lines were formed by the growth and joining together of some gold-rich aligned rectangular protrusions. The gold-rich maze was observed in SiO2/Au/GaAs after heating in vacuum, but was not observed in SiO2/Au/GaAs after heating in nitrogen.  相似文献   

7.
The purpose of this investigation is to demonstrate the capability of using D.U.V resist XP9493 from Shipley for E-BEAM applications. The mains parameters which have been checked are, a high resist sensitivity to get a lower exposure time coupled with a sub micron resolution and a sufficient process window. The softbake value which optimizes the dose (best throughput) to stability (process window) ratio is 100°C/60s. The Post Exposure Bake variation shows the Dose to clear (D0) to decrease when increasing the temperature, however “resist loss” becomes a problem over 125°C. The contrast is adequate for a E-beam application (γ9). The linearity measured on contacts is good in the range of 0.8μm to 2.0μm. The profile is adequate (i.e vertical) in a 1.4μm thick resist for a dose of 6μC/cm2; a higher dose would generate “uncontrolled size of contact”, a lower dose could generate “closed contacts”. The throughput earning should be 30% for the referenced implantation levels.

The resist XP9493 from SHIPLEY seems to be a good candidate for Implantation and Contact levels production application. This is the second Deep-UV positive resist tested from Shipley, on the AEBLE 150s (column 20kV), the first was the XP9402. The to-date results are the most promising ever obtained at ES2 with a positive tone resist for E-Beam applications.  相似文献   


8.
We report measurements of magneto-reflectivity in ZnTe/Zn1−xMnxTe superlattices in magnetic fields up to 45 Tesla. From an analysis of the Zeeman splitting, we investigate the change of band alignment with field and the band offset ratio. A crossing of the 1 s exciton transitions from the ZnTe buffer layer and the 1 s heavy hole σ+ exciton of the superlattice is observed, providing unambiguous evidence of a band alignment change from type I to type II. The excitonic energy levels for both type I and type II band structure are calculated using a variational method. This model fits the experimental data very well at high field for both σ+ and σ transitions. A conduction band offset ratio of ΔEcEs=0.72±0.04 is deduced.  相似文献   

9.
We have developed a novel chemically amplified deep-UV photoresist called ARCH2. ARCH2 displays a resolution of<0.23μm with a DOF of 1.0μm at 0.25μm. This material also displays superior time delay stability (>8 hours). The post exposure bake (PEB) temperature was varied from 100°C to 120°C and the PEB time was varied from 60s to 180s. This had very little effect on the CD of the resist profiles. Preliminary etching experiments in a conventional reactive ion etcher were then carried out using CF4 to etch TiN. In these experiments the ARCH2 etched at a similar rate as conventional Novolac.  相似文献   

10.
Power transistors with a low d.c. supply voltage were demonstrated with pseudomorphic InGaP/In0.2Ga0.8As/GaAs heterostructure field effect transistors on GaAs substrates and 1 μm gate length technology. A current density of 200 mA mm−1 and an extrinsic transconductance of 300 mS mm−1 were exhibited on a 400 μm gate width process control monitor device. For a 1 cm gate width device measured at 850 MHz and Vds = 1.3 V, state-of-the-art results, 57.4% for the PAE, 12.7 dB for the linear gain and 21.5 dBm for the output power, were obtained.  相似文献   

11.
We report a first work on nanofabrication of hydrogen nanosensor from single ZnO branched nanorods (tripod) using in-situ lift-out technique and performed in the chamber of focused ion beam (FIB) system. Self-assembled ZnO branched nanorod has been grown by a cost-effective and fast synthesis route using an aqueous solution method and rapid thermal processing. Their properties were analyzed by X-ray diffraction, scanning electron microscopy, energy dispersion X-ray spectroscopy, transmission electron microscopy, and micro-Raman spectroscopy. These analyses indicate high quality ZnO nanorods. Furthermore, our synthesis technique permits branched nanorods to be easily transferred to other substrates. This flexibility of substrate choice opens the possibility of using FIB system for handling.

The main advantage of the proposed in-situ approach is a controllable lift-out procedure which permitted us to obtain a 90% success rate for building nanodevices. The fabricated nanosensor uses only single self-assembled ZnO branched nanorod (tripod) to gauge the 150 ppm H2 in the air at room temperature. The hydrogen sensitivity is in the range of 0.6–2% depending on which two branches to use. The nanosensor has selectivity against other gases such as O2, CH4, CO and LPG, which shows sensitivity of <0.02%. The single ZnO branched nanorod sensor can operate at low power of <5 μW.  相似文献   


12.
The electron-beam response of new chemically amplified positive multi-component ARCH-resist family (ARCH and ARCH2) and the suitability of pattern transfer through single layer has been evaluated. The electron-beam lithographic parameters for thicker layers (1–2μm) of these resists and the optimization possibilities of the exposure and etching conditions were investigated as well. Under fixed resist-handling processes, both resists exhibit high sensitivity (< 10μC/cm2) and an enormous high contrast. The study includes the effects of resist-process variations on the global 3-D resist-relief structure. Vertical side-walls of the resist profile is a necessary condition for a successful deep-, and a good CD-controlled anizotropical pattern transfer with high aspect ratio of structures into the substrate.  相似文献   

13.
The solutions of Poisson's equation applicable to ion implanted MOS devices have been used to generate capacitance-voltage relationships for capacitors and threshold voltage shifts for transistors. The calculations agree well with previously published transistor data for profiles centered near Si-SiO2 interface. These shallow implants (< 0.1 μm) are easily controlled by the gate and yield voltage shifts equal to that expected for all of the charge lumped at the silicon surface. In addition, the observed saturation of gate voltage shift for deeper implants in enhancement mode transistors can be duplicated by the calculations provided that the stopping power of SiO2 is reduced as has been proposed elsewhere. Further, it has been predicted that gate control will be lost for depletion mode transistors with sufficiently deep implants. This is caused by the formation of a deep channel which is isolated from gate control by an induced surface charge layer. The inability of the gate field to pinch off the channel defeats device use for transistor inverter loads.  相似文献   

14.
A power device for ultra high frequency use has been designed and fabricated in a standard 1.3 μm CMOS technology. A cell-based design is described for a compact and efficient power transistor layout. Devices with three different channel lengths (L = 1.1, 1.5 and 1.9 μm) were compared in the study. Breakdown voltage of > 18 V was achieved by adjusting the channel doping profile. On-resistance measures indicated RON 1–2 Ω for a 1 W estimated power output device. ƒT of up to 3.6 GHz and ƒmax up to 10.5 GHz were extracted from small-signal s-parameter measurements. During class A measurements at 900 MHz, power gain of 7.8 dB for L = 1.5 μm devices and 9.5 dB power gain for L = 1.1 μm devices at Vd = 6 V were measured. Some devices were even able to deliver 11 dB power gain at moderate power levels (20 dBm). Maximum efficiency was around 40% and saturated output power was estimated to 24–25 dBm (250–300 mW) for the largest studied device (W/L = 2800/1.1).  相似文献   

15.
Measurements of the thermal noise of a silicon p+−π−n+ diode operating in the Lampert-insulating regime agree within 6 per cent with the prediction Si = 4kT Re(Y). The noise measurements were performed in the cube-law regime with d.c.-currents from 100 μA to 4mA at room temperature.  相似文献   

16.
A new class AB CMOS operational amplifier featuring rail-to-rail output swing is presented. The proposed circuit operates with an output voltage supply of 1 V only, while the overall power consumption is lower than 75 μW. The output stage shows a quiescent current of 15 μA, while it guarantees a peak current of 220 μA. The slew rate is 1.5 V μs−1 (C1 = 150 pF) and the THD is −63 dB, when a 0.98 Vpp−10.4 kHz sinewave is applied, as measured on an experimental prototype realised with a standard 0.8 μm CMOS process. The circuit presented is suitable for use in portable hand-set systems or in medical aids.  相似文献   

17.
We propose a novel laser active region design that employs a strained and ordered ([nAs)1(GaAs)1 quantum well on a GaAs(111)B substrate for 1.31 μm high-speed applications. The increased Matthevvs-Blakeslee critical thickness for this orientation as compared to the (001) case allows for wider wells with higher indium compositions. In the In0.5Ga4.5As case, however, the bandgap is not significantly affected by the reduced quantum confinement because an increase in the hydrostatic strain component of the Hamiltonian for the (111)-orientation approximately negates any narrowing effects. By using an alternate monolayer superlattice active region to replace the alloy, we find that wavelengths well beyond 1.3 μm can be achieved. We also discuss some of the adBANtages of moving to the (111)-orientation that indicate higher modulation bandwidths are possible using this material system over conventional 1.3 μm laser diodes on InP substrates.  相似文献   

18.
This paper describes new developments of electron beam exposure system and fabrication process of metal/insulator/metal tunnel junctions for single electron transport using double-layered inorganic resist. The system has performances of 2.8 nm probe diameter with 127 pA at 50 kV accelerating voltage and the standard deviations of stitching and overlay errors are confirmed to be 14 and 18 nm, respectively for 320×320 μm2 chip size. The sample chamber is evacuated down to 2×10−9 Torr with keeping the stage accuracy and also we can introduce a gas from the small nozzle near the sample surface up to 10−4 Torr. A SiO2/poly-Si on SiO2/Si substrate is used in order to produce a suspended mask for multiple-angle Al deposition with oxidation in 100 mTorr O2 ambient. This process enables us to produce one-dimensional array of tunnel junctions with a nominal width of 40 nm. The current-voltage characteristics of this device show a clear Coulomb staircase with a voltage period of 63 mV and a current step of 0.18 pA at temperatures of 12 and 20 K.  相似文献   

19.
A detailed numerical analysis of the influence of the junction depth on the performance of a diffused n+p silicon solar cell is presented. The analysis includes the effects of Fermi-Dirac statistics, band gap narrowing, a finite surface recombination velocity and the built-in field due to the impurity profile. The recombination mechanism plays a dominant role in the performance of the solar cell. The ideality factor, “a”, varies from 1.006 for 0.1 μm junction depth, to 1.0135 for 2 μm junction depth. The saturation current density, Jo increases with the junction depth showing that the recombination increases in the heavily doped diffused layer of the device. The variation of the light generated current, JL, the open-circuit voltage, Voc, efficiency, η and the ideality factor, “a” are reported and analysed.  相似文献   

20.
We present a modified pattern technique for fabrication of nanometer structures in the Si/SiGe heterosystem. A special multilayer-resist system is developed for pattern transfer by electron-beam lithography and anisotropic SF6/O2 dry etching. Photoluminescence measurements are carried out on homogeneously etched samples to determine the influence of the RIE process on the optical properties. Etching induced damages are reduced by a low-temperature post-annealing step. Additionally, surface contaminations are investigated using laser desorption mass spectrometry. SiGe wires with lateral widths from 4 μm down to 25 nm have been fabricated, but photoluminescence has been observed for structures down to 600 nm lateral width, only. Further improvement has been obtained by sidewall-passivation of the nanostructures with a low temperature plasma enhanced-chemical-vapour-deposited oxide. Up to now, clear excitonic emission is detected for wires as small as 250 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号