首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 343 毫秒
1.
Periodic high aspect ratio GaAs nanopillars with widths in the range of 500-1000 nm are produced by metal-assisted chemical etching (MacEtch) using n-type (100) GaAs substrates and Au catalyst films patterned with soft lithography. Depending on the etchant concentration and etching temperature, GaAs nanowires with either vertical or undulating sidewalls are formed with an etch rate of 1-2 μm/min. The realization of high aspect ratio III-V nanostructure arrays by wet etching can potentially transform the fabrication of a variety of optoelectronic device structures including distributed Bragg reflector (DBR) and distributed feedback (DFB) semiconductor lasers, where the surface grating is currently fabricated by dry etching.  相似文献   

2.
This paper describes a new application of plasma etching, namely as an aid to the characterization of specular semiconductor surfaces. The technique, which is simple and quick to perform, consists of subjecting the semiconductor slice to a brief etching treatment in a fluorocarbon plasma at low pressure, followed by inspection of the surface, using Nomarski microscopy. The whole operation is designated diagnostic plasma etching (DPE). Suitable etching times lie in the range 1 to 6 min and, under the operating conditions described, up to 3m silicon is removed, although generally the aim is to remove the minimum amount consistent with good image contrast under the microscope. When examined at high magnification, plasma-etched surfaces display a variety of artefacts, which can be related to features such as contamination and mechanical damage sites. The DPE technique possesses a high level of sensitivity and is capable of providing information on surface condition, not obtainable by existing wet chemical tests or high resolution microscopy, as exemplified by the detection of disturbance of surfaces cleaned by mechanical scrubbing techniques.  相似文献   

3.
We discuss the feasibility of controlling the photosensitivity of metal/thin intrinsic-oxide/semiconductor surface-barrier structures under conditions of excitation of surface polaritons. These structures may be used as polarization-sensitive photodetectors. Pis’ma Zh. Tekh. Fiz. 23, 52–57 (May 12, 1997)  相似文献   

4.
It is found that heterocontacts consisting of a semiconductor (e.g., InSe) and a natural protein exhibit a broad-band photovoltaic effect and do not display an appreciable shortwavelength drop in the spectral range from 1.2 to 3.7 eV. The maximum photosensitivity of such structures, which reaches 2 mA/W at T=300 K, is observed when they are illuminated on the protein side. It is concluded that the structures created can be employed as broad-band photosensors of optical radiation. Pis’ma Zh. Tekh. Fiz. 25, 76–80 (April 26, 1999)  相似文献   

5.
The reactive ion etching (RIE) technique was used to etch polycrystalline diamond thin films. In this study we investigate the influence of process parameters (total pressure, rf power, gas composition) of standard capacitively coupled plasma RIE system on the etching rate of diamond films. The surface morphology of etched diamond films was characterized by Scanning Electron Microscopy and the chemical composition of the etched film part was investigated by Raman Spectroscopy.We found that the gas composition had a crucial effect on the diamond film morphology. The use of CF4 gas resulted in flatter surfaces and lateral-like etching, while the use of pure O2 gas resulted in needle-like structures. Addition of argon to the reactant precursors increased the ion bombardment, which in turn increased the formation of non-diamond phases. Next, increasing the rf power from 100 to 500 W increased the etching rate from 5.4 to 8.6 μm/h. In contrast to this observation, the rise of process pressure from 80 to 150 mTorr lowered the etching rate from 5.6 down to 3.6 μm/h.  相似文献   

6.
We have experimentally studied dependence of the optoelectronic parameters (resistance, photosensitivity, drift mobility, and optical absorption edge) of thin (As2S3)x(As2Se3)1−x glassy semiconductor films on the rate of their thermal deposition in vacuum onto a Lavsan (Dacron) roll base. Films obtained at the optimum deposition rates, ranging from 4 to 7×10−3 μm/s, are characterized by greater values of the resistance, photosensitivity, and drift mobility, while the absorption edge approaches a value typical of a bulk glassy semiconductor. The influence of pores, formed in the volume of the deposit, on the physical properties of the films is discussed.  相似文献   

7.
Etch damage of TiO2 thin films with the anatase phase by capacitively coupled RF Ar plasmas has been investigated. The plasma etching causes a mixed phase of anatase and rutile or the rutile phase. The effect of Ar plasma etching damage on degenerating TiO2 thin films is dependent on gas pressure and etching time. The physical etching effect at a low gas pressure (1.3 Pa) contributes to the degradation: the atomic O concentration at the thin film surface is strongly increased. At a high gas pressure (13-27 Pa) and long etching time (60 min), there are a variety of surface defects or pits, which seem to be similar to those for GaN resulting from synergy effect between particle and UV radiation from the plasmas. For the hydrophilicity, the thin film etched at the high gas pressure and a short etching time (5 min) seems to have no etch damage: its contact angle property is almost similar to that for the as-grown thin film, and is independent of the black light irradiation. This result would probably result from formation of donor-like surface defects such as oxygen vacancy.  相似文献   

8.
The morphologies of three nascent high-density polyethylene (HDPE) powders, polymerized in the gas phase by different catalysts, were investigated using scanning electron microscopy (SEM). Silica-supported catalyst systems comprising TiCl4/MgCl2,bis(triphenylsilyl)chromate andbis(cyclopentadienyl)chromium were found to produce polymers with globular, nodular and worm-like microstructures, respectively. The topographies of the fluff particles are related to the compaction behaviour of the HDPE powders. Long, worm-like strands that protrude from the particles are capable of forming more extensive entanglements than the shorter, nodular structures. The entanglements are the main cause of agglomeration of the particles during their long-term bulk storage. Furthermore, the rate of thermal oxidation is influenced markedly by the polymer microstructure. The microstructure determines the surface area available for oxygen attack. High-resolution SEM combined with low-temperature plasma etching reveals that the worm-like structures consist of folded-chain lamellae that are coiled around a core of extended chains.  相似文献   

9.
Various MEMS devices like Accelerometers, Resonators, RF- Filters, Micropumps, Microvalves, Microdispensers and Microthrusters are produced by removing the bulk of the substrate materials. Fabrications of such Microsystems requires the ability to engineer precise three-dimensional structures in the silicon substrate. Fabrication of MEMS faces multiple technological challenges before it can become a commercially viable technology. One key fabrication process required is the deep silicon etching for forming high aspect ratio structures. There is an increasing interest in the use of dry plasma etching for this application because of its anisotropic etching behavior, high etch speed, good uniformity and profile control, high aspect ratio capabilities without having any undesired secondary effects i.e. RIE lags, Loading, microloading, loosing of anisotropic nature of etching as aspect ratio increases, micro-grass and even etch stalling. Developing a DRIE micro-machining process requires a thorough understanding of all plasma parameters, which can affect a silicon etching process and their use to suppress the secondary effects. In this paper our intention is to investigate the influence of etching gas flow, etching gas pressure, passivation gas pressure, ICP coil power, Platen power and etch and passivation time sequence on etch rate and side wall profile. Parameter ramping is a powerful technique used to achieve the requirements of high aspect ratio microstructures (HARMS) for MEMS applications by having high etch rate with good profile/CD control. The results presented here can be used to rationally vary processing parameters in order to meet the microstructural requirements for a particular application.  相似文献   

10.
Nanotechnology is one of the newly rising technological fields and acquiring the research priorities in these days. Nanostructures found to have high optical gain, and found to be a key element in the nonvolatile memory devices. The major drawback in the formation of nanocrystalline silicon (nc-Si) is the lack of uniformity and low density. Uniform and packed needle like silicon surface with a size of 50 nm and with a depth of 300 nm was established in the present study using a reactive ion etching (RIE) system. SF6 and O2 gases were used for the reactive ion etching process. The ratio of gas flow rates during etching was optimized for the anisotropic etching of silicon to generate nanostructures. Surface morphology was investigated after etching using scanning electron microscope (SEM). The sample etched at an SF6 flow rate of 13 sccm was found to be smooth, but as the SF6 flow rate increases, we can see the formation of columnar microstructures. For a typical flow of SF6 with the flow rate of 22 sccm, we found the silicon surface covered by columnar structures with diameters ∼ 50 nm and depth of about 300 nm. Radio Frequency (RF) power, etching time and oxygen flow rate were fixed to 100 W, 15 min and 12 sccm, respectively, during the experiment for all the samples. In order to observe the effect of RF power on the formation of nanoneedle silicon surface, experiments were carried out at different powers (60 W, 80 W and 100 W) and at a constant SF6 and oxygen flow rates of 22 sccm and 13 sccm. From this study, we formed a deep nanoneedle structured silicon surface at a power of 100 W. Photoluminescence (PL) and capacitance–voltage (CV) characteristics were recorded on metal-oxide-semiconductor (MOS) capacitors with nanoneedle surface structure of silicon.  相似文献   

11.
Photovoltaic performance of semiconductor-liquid junction solar cell using electro-codeposited thin filmn-CdSe is found to improve significantly by proper surface treatments. The solid state parameters of annealed films are calculated and compared with those of unannealed film-based cells. Chemical etching is found to improve short circuit current and fill factor whereas photoelectrochemical etching technique improves the stability of photoanode in polysulphide electrolyte. Annealing promotes incipient fusion of small crystallites, thus reducing the grain boundaries which are known to act as recombination centres for minority carriers and trapping centre for majority carriers. The conversion efficiency and stability are found to improve by chemical etching of the semiconductor layer because chemical etching pins Fermi level of CdSe photoanode and promotes exchange current density.  相似文献   

12.
The results of an investigation of reactive r.f. sputter etching in a CF4-Ar gas mixture for opening contact windows in SiO2, thereby ensuring proper metallization in the production of semiconductor devices, are described. The quality of the photolithographic image, the etching profiles and the morphology of the silicon wafer are interpreted and illustrated by scanning electron photomicrographs. The radiation defects in the Si/SiO2 system are investigated using high frequency capacitance-voltage measurements. The optimum composition of the gas mixture used was determined, enabling simultaneous complete etching of the SiO2 film and removal of the negative Waycoat IC 528 resist.  相似文献   

13.
Herein, a new way of the surface modification of Ti6Al7Nb alloy in fluorine plasma is presented using the mask made of stainless steel and its influence on the selected properties, including chemical composition, topography, and tribological ones. Depending on the etching process parameters, different characteristics of the surfaces are obtained. The higher is the value of the negative bias, the less fluorine concentration on the etched surface and higher etching rates. Etching using the SF6 gas shows bigger etching rates in comparison to the etching using the CF4 gas. Chemical composition of the modified surfaces shows greater impact on tribological characteristics than topography parameters. The lowest wear rate is observed for the sample modified using the CF4 gas.  相似文献   

14.
Metal assisted chemical etching (MacEtch) is a recently developed anisotropic wet etching method that is capable of producing high aspect ratio semiconductor nanostructures from patterned metal film. In this review article, we highlight the characteristics of MacEtch of silicon (Si) including controllability of the produced sidewall roughness, the inherent high aspect ratio, the weak crystal orientation dependence, impurity doping and solution concentration dependent porosity, as well as the applicability of MacEtch to non-Si based semiconductor materials including III–V compound semiconductors. Also reviewed are applications of MacEtch produced high aspect ratio Si nanostructures in photovoltaics, where the p–n junction can be in the planar Si tray, core–shell, or axial geometry, with nanowire, micropillar, or hole arrays serving as light trapping or carrier collection structures. The prospect of using MacEtch to improve the cost and efficiency of photovoltaic cells is discussed.  相似文献   

15.
A new method for fabricating metal nanostructures, called ‘the selective metal nanoscale etch method (SMNEM)’, was developed. The SMNEM consists of a galvanic displacement and selective etching process. The process was found to be simple and produced a uniform surface with a self-controlled etch rate of 32.2 ± 2.1 nm per cycle at a temperature and immersion time of 75°C and 3 min, respectively. Since it is a wet chemical process, SMNEM provides high throughput and low temperature etching which is compatible with conventional semiconductor processes. Various metal nanostructures, such as nanostairs, nanogratings, and nanowires were produced using SMNEM.  相似文献   

16.
A heterojunction between p-type indium monoselenide and propolis (bee glue) has been created for the first time. The influence of technological factors on the electrical properties of the heterojunction has been studied. It is demonstrated that propolis behaves similarly to a p-type semiconductor. The heterojunction possesses a significant photosensitivity in the near infrared range. The prospects of using photodetectors of the new type are discussed.  相似文献   

17.
GaN etching damage by capacitively-coupled RF Ar plasma exposure is significantly dependent on gas pressure and exposure time. At a low gas pressure (10 mTorr), the N/Ga ratio decreases by the physical etching effect with increasing exposure time, while the GaN surface morphology is smooth. At a high gas pressure (50 mTorr), there are other effects such as UV radiation, by which the GaN surface morphology becomes rough as the exposure time increases from ∼ 60 min.  相似文献   

18.
A program package is developed for simplex-lattice-design processing of the data on etching of solid systems in multicomponent etchants. The procedure suggested is implemented in studying the processes of etching of metallization layers on GaAs/Al x Ga1 ? x As semiconductor heterostructures in an HNO3: HCl: glycerol etching mixture. The etchant compositions are selected to implement controllable removal of Au layers at a rate of 8–10 Å s?1, with such quality of the surface of the epitaxial structure that allows the subsequent procedures specified by planar technology and the microscopic structural studies of multilayer metallization. The results are applied to the analysis of the characteristics of contacts to the GaAs/Al x Ga1 ? x As heterostructures in developing photoelectric detectors and can be used in replacing the operation of “dry” etching with the operation of “wet” etching during the technological cycle of formation of GaAs-based device structures.  相似文献   

19.
Ion-enhanced plasma etching has been widely used in Micro-Electro-Mechanical Systems (MEMS) and semiconductor manufacture. Especially, the pattern transfer in the production of micro-electronic devices requires high anisotropy etch to achieve deep and vertical trench profiles. Usually, a bias power will be applied on the substrate to form a sheath from which ions gain their kinetic energies, which is key for the ion assisted etching yield. In this work, we simulated a 2D profile evolution progress for chlorine etching of silicon. In addition to a DC bias investigation, we also consider a radio frequency (RF) biased sheath. In the method, first, a sheath model is used to get the ion energy distributions (IEDs) and ion angular distributions (IADs) of ions entering into the trench region after passing through the sheath. Then, ion motions in trench and flux distributions at the trench surface are calculated by tracing ion trajectories in the local electric field. Finally, considering the ion assisted etch yield of silicon in chlorine plasma, the cell removal algorithm is simulated to achieve evolution progress of the trench. Influences of different aspects such as the ion reflection, the bias voltage, the charging of the mask sidewalls, and the discharge pressure on the profile evolution are studied. Results show that ion reflections on sidewalls and local electric field in the trench cause the trenching, a large voltage can cause tapering, and the application of RF bias will reduce the trenching and achieve a larger etch rate. The gas pressure is also key in the trench formation. We can achieve more ideal trench topography by adjusting these discharge parameters.  相似文献   

20.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号