首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 109 毫秒
1.
函数信号发生器作为信号源,广泛的应用于课堂教学,研究试验和工业生产等各个领域。通过MCU与FPGA的硬件结合方式,由MCU负责输入输出的人机交互控制,FPGA负责实现核心DDS技术。然后对输出的波形做相关性能测试实验,正弦波的THD计算和三角波的线性度计算。测试结果表明,该信号发生器参数可调范围宽,精度高,稳定可靠,具有一定的实用价值。同时说明了MCU与FPGA联合使用的这种硬件框架是稳定可靠的,是可以应用到大部分的微控制系统中的,也为进一步应用提供了重要的参考依据。  相似文献   

2.
DDS技术在正弦信号发生器中的应用   总被引:8,自引:2,他引:6  
信号发生器在自动化测量等领域发挥着越来越重要的作用,直接数字合成(DDS)技术可以方便地对信号频率进行控制从而直接合成所需波形;该系统主控芯片采用Cygnal公司的高性能单片机C8051F040,实现整个电路的控制,正弦波的发生采用专用DDS芯片AD9850,可与单片机通过简单的并行或串行通信,完成外部输入频率数据与芯片内部频率相位控制字间的转换;考虑到通用性,信号发生器以高速单片机为核心,利用DDS芯片和FPGA,在产生常规正弦波的基础上,还可以对信号进行频率调制和幅度调制;同时还能产生二进制PSK、ASK信号。  相似文献   

3.
基于FPGA的DDS信号发生器设计   总被引:1,自引:0,他引:1  
设计了一种可灵活在线调节的直接数字频率合成信号发生器,首先利用现场可编程门阵列生成各种频率、波形的信号数据,再采用LTC1821实现D/A转换,最后通过选择性滤波和功率放大电路实现信号输出,幅值范围0~10V,频率范围1Hz~100kHz,波形可设为三角波、矩形波、正弦波、锯齿波;实际测试验证了信号发生器的准确性和有效性.  相似文献   

4.
数字信号发生器是集成电路设计及调试过程中经常用到的工具,基于FPGA设计了一种DDS型数字信号发生器,可产生正弦波、方波、三角波和锯齿波这四种信号,并具有频率可调功能。  相似文献   

5.
在FPGA芯片实现的DDS信号发生器已有一定的应用范围,为获得较宽的频率输出范围,一般需要存储相当数量的波形离散值,占用大量的芯片逻辑资源。这篇文章研究在存储较少量的波形离散值的情况下,通过对系统时钟进行分频,减小输出频率最小值,同时提高在低频处的频率分辨率,通过设定频率控制字为存储离散值个数的约数,保证输出波形重构良好、频率失真度低,节约芯片资源。本设计方案可输出多种波形,其中方波占空比亦可调节,将幅度调节设计在模拟运放电路中,可对幅度进行连续调节。整体设计软件化、模块化,易于调整和扩展。经验证,本设计方案可行,达到预期效果,有一定的工程指导意义和实用价值。  相似文献   

6.
波形平滑、频率稳定的正弦信号是仿真研究的重要前提。为了能够方便地产生此信号,文章提出了一种基于DDS技术的正弦信号发生器的设计方法。该方法利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的相位相差120°的三相正弦信号发生器。同时把在Matlab环境中用DSP Builder画的原理图转化为VHDL语言,然后通过信号分析在QuartusⅡ中模拟仿真,最终下载到FPGA试验箱,这样,接上示波器即可观察到三相正弦信号。文章给出了基于FPGA的三相正弦信号波形的设计方法,并经软件仿真测试验证及硬件测试,结果表明,该系统具有较高的精度和稳定性。  相似文献   

7.
针对电磁兼容性试验中超宽带、高精度、多功能激励源的需求,采用了一种基于“FPGA+PLL+DDS”的频率合成技术,实现了一定频率范围、调制方式灵活可控的信号发生器。设计的信号发生器中,FPGA负责解析并执行上位机下发的指令,同时计算相关DDS控制字并通过并行SPI更新DDS芯片配置,最终输出满足需求的调制信号。测试结果表明设计的信号发生器功能、指标均达到了电磁兼容性试验要求,现已应用于某电磁兼容性试验测试设备中。  相似文献   

8.
实现了一种基于FPGA与LabVIEW平台的任意波形发生器。通过FPGA搭建硬件平台,与LabVIEW上位机软件实现串口通信,实时调整FPGA内部波形数据,可实现正弦波、方波、锯齿波、三角波、高斯白噪声、叠加正弦波、自定义公式等常规波形,同时也可以手动绘制任意波形,充分发挥了软件的灵活性。通过参数的设定,可方便地设计各种复杂波形。本设计在EP4CE15F17C8芯片上实现,与LabVIEW上位机软件协同工作,经测试系统具有良好的稳定性、灵活性。  相似文献   

9.
介绍了一种基于FPGA的正弦信号发生器的系统设计.采用直接数字频率合成技术(DDS),借助8位高速数模转换器件DAC908输出正弦信号,进一步通过低通滤波器还原,由末级功放输出驱动50Ω负载.在改进的DDS算法结构基础上,系统的复杂度降低,更趋于模块化,产生的波形频率更准确,且输出信号范围为DC到10 MHz,频率分辨率达到0.1 Hz.性能测试结果表明,该系统可靠、快速,输出信号的频率具有高精度、高稳定度.  相似文献   

10.
DDS广泛应用于电信与电子仪器领域,是实现设备全数字化的关键技术。文章设计并运用ISE10.0软件完成了三相正弦波信号、矩形波信号、调频调相信号的波形仿真,并以Xilinx的FPGA核心板SPARTAN3AN,结合高性能的MCU-ATMEGA128,完成了DDS的硬件设计及实现。仿真和实测结果表明,对于频率范围在0.1Hz到10MHz的正弦信号,输出信号的频率精度优于0.1%,频率稳定度优于10^-6,输出信号峰峰值≥20V,且相位以1°任意步进,具有电路简单、输出波形调整灵活以及性价比高等特点。  相似文献   

11.
基于FPGA的并行DDS信号发生器的设计与实现   总被引:1,自引:0,他引:1  
针对DDS(直接数字频率合成)电路的运算速度受相位累加器的累加速度和ROM读取速度的约束问题,采用多路并行和流水线相结合的方法改进了DDS电路的结构,有效地扩展了DDS电路的输出带宽。通过在FPGA内设计基于双DDS电路结构的信号发生器,用数字的方法直接实现了标准波形和各种调制波形的双通道输出。该方案结构简单,控制灵活,实验测试结果表明,该信号发生器能输出稳定、高带宽、高速度、高精度的信号波形。  相似文献   

12.
以FPGA为核心,采用锁相技术、直接数字频率合成(DDS)技术产生两路频率相同而相位不同的移相信号。同时通过STC12LE5A60S2单片机控制,可任意设置两路信号的频率、相位差。输入和输出的波形参数可通过液晶12864显示。实验结果表明,系统输出的波形相移精度高、稳定性好,具有良好的实用性。  相似文献   

13.
基于FPGA+DDS的信号源设计与实现   总被引:1,自引:0,他引:1  
采用DDS+FPGA+DAC数字信号激励器硬件电路和数字波形合成软件算法设计实现了宽带信号源所需要的各类信号,覆盖30 MHz~1 GHz频段,功率达到20 W.在完成了具体的设计和实验后实现了样机的制作,通过现场测试验证了其完全满足应用需求.  相似文献   

14.
《微型机与应用》2020,(1):87-91
在当代许多电子信息系统中,信号源的性能参数往往对系统的功能起着决定性作用。采用直接数字频率合成技术(Direct Digital Synthesize,DDS),基于现场可编程门阵列(Field-Programmable Gate Array,FPGA)设计了能同时输出4路信号的高精度信号发生器。同时依靠串口通信的方式,通过PC端的控制界面实时调节系统输出信号的频率、相位和死区时间。  相似文献   

15.
基于FPGA的数字信号发生器的设计与实现   总被引:1,自引:2,他引:1  
袁辉 《电子技术应用》2011,37(9):67-69,73
使用AD9854芯片和FPGA,基于DDS理论设计并实现了多模式多波形雷达信号源.它可模拟LFM、NLFM、单频、相位编码等多种脉冲信号波形,能有效验证脉冲压缩与信号处理单元的工作性能.  相似文献   

16.
DDS具有稳定度高、转换时间短,且分辨率较高等优点,本文提出了基于FPGA芯片设计DDS系统的方案。该方案利用Altera公司的QuartusⅡ开发软件,结合DDS的结构和原理,给出系统设计方法,并推导得到参考频率与输出频率间的关系。  相似文献   

17.
以FPGA为核心,TFT实现图形与菜单界面,USB1.1与Delphi7.0完成与上位机接口及图形操作界面,对低频段采用时钟分段分频法,在MCU的控制下实现DDS低频高精度双通道±8 V,0.01 Hz~10 MHz正弦波等常规波形外,还能输出数码流、调制信号、随机噪声、扫频信号及时域/频域自定义波形,信号参数均可步进在线可调。实验表明该设计是行之有效的,在介绍系统设计思想的同时,重点讲述FPGA设计、信号输入方法及提高低频段时域精度的措施等。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号