首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Chemical-mechanical planarization (CMP) has emerged as the most preferred method to achieve excellent global and local planarity in the damascene-Cu process. As the feature sizes shrink, understanding the fundamentals of CMP is critical for successful implementation of the CMP process in sub 0.35-μm technology. It is also important to understand the effects of mechanical and tribological properties of the interlayer films on the CMP process to conduct successful evaluation and implementation of these materials. In this paper, we present the mechanical and tribological properties of various interlayer films (SiO2, SiC, low-k B, low-k C, Ta, and Cu) and discuss the CMP process of the films in an alumina-based Cu slurry. Mechanical properties were evaluated using a nanoindentation technique. A micro-CMP tester was used to study the fundamental aspects of the CMP process. The coefficient of friction (COF) was measured during the process and was found to decrease both with downward pressure and with platen rotation. An acoustic sensor, attached to the substrate carrier, was used to monitor the process, and the signal was recorded to examine the difference in polishing behavior of these films. The acoustic emission (AE) signal was found to increase with the increase in platen velocity and pressure. Effects of machine parameters on the polishing behavior of the interlayer films and the correlation of mechanical properties with tribological properties have been discussed.  相似文献   

2.
Abstract: The stability of a novel low-pH alkaline slurry (marked as slurry A, pH = 8.5) for copper chemical mechanical planarization was investigated in this paper. First of all, the stability mechanism of the alkaline slurry was studied. Then many parameters have been tested for researching the stability of the slurry through comparing with a traditional alkaline slurry (marked as slurry B, pH = 9.5), such as the pH value, particle size and zeta potential. Apart from this, the stability of the copper removal rate, dishing, erosion and surface roughness were also studied. All the results show that the stability of the novel low-pH alkaline slurry is better than the traditional alkaline slurry. The working-life of the novel low-pH alkaline slurry reaches 48 h.  相似文献   

3.
The kinematics of conventional, rotary chemical mechanical planarization (CMP) was analyzed, and its effect on polishing results was assessed. The authors define a novel parameter, ζ, as a “kinematic number,” which includes the effects of wafer size, distance between rotation centers, and rotation ratio between wafer and pad. The analysis result suggests that velocity distribution, direction of friction force, uniformity of velocity distribution, distribution of sliding distance, and uniformity of sliding-distance distribution could be consistently expressed in terms of the kinematic number ζ. These results become more important as the wafer size increases and the requirement of within-wafer nonuniformity is more stringent.  相似文献   

4.
The feature scale planarization of the copper chemical mechanical planarization (CMP) process has been characterized for two copper processes using Hitachi 430-TU/Hitachi T605 and Cabot 5001/Arch Cu10K consumables. The first process is an example of an abrasive-free polish with a high-selectivity barrier slurry, while the second is an example of a conventional abrasive slurry with a low-selectivity barrier slurry. Copper fill planarization has been characterized for structures with conformal deposition as well as with bumps resulting from bottom-up fill. Dishing and erosion were characterized for several structures after clearing. The abrasive-free polish resulted in low sensitivity to overpolish and low saturation levels for dishing and erosion. Consequently, this demonstrated superior performance when compared to the International Technology Roadmap for Semiconductors (ITRS) 2000 roadmap targets for planarization. While the conventional slurry could achieve the 0.13-μm technology node requirements, the abrasive-free polish met the planarization requirements beyond the 0.10-μm technology node.  相似文献   

5.
The replacement metal gate(RMG) defectivity performance control is very challenging in high-k metal gate(HKMG) chemical mechanical polishing(CMP). In this study, three major defect types, including fall-on particles, micro-scratch and corrosion have been investigated. The research studied the effects of polishing pad,pressure, rotating speed, flow rate and post-CMP cleaning on the three kinds of defect, which finally eliminated the defects and achieved good surface morphology. This study will provide an important reference value for the future research of aluminum metal gate CMP.  相似文献   

6.
螯合剂与氧化剂协同比对化学机械平坦化的影响   总被引:1,自引:1,他引:0  
刘伟娟  刘玉岭 《半导体学报》2015,36(2):026001-5
主要研究了不含BTA(苯并三唑)等抑制剂,以化学作用为主的碱性抛光液中,氧化剂与螯合剂协同比对平坦化的影响。抛光液主要包括螯合剂、非离子型表面活性剂、磨料和氧化剂。研究了氧化剂与螯合剂不同协同比,对抛光速率和静态腐蚀速率的影响规律,进一步研究了协同比对平坦化的影响。平坦化结果显示,固定螯合剂含量,随着氧化剂含量的增加,蝶形坑先减小后增大。当氧化剂含量为螯合剂含量三倍的时(即协同比为3),蝶形坑增加最小。基于化学机械协同作用的动力学控制过程,提出了一个理论模型。根据理论模型,分析了氧化剂与螯合剂协同比对高低速率差的影响,并对平坦化结果进行了分析解释。实验结果显示,当氧化剂与螯合剂协同比在区间2.5-3.5之间时,高低速率差较大,在此区间可以实现好的平坦化效果。本文为以后分析和研究碱性精抛液,解决低凹处铜线条腐蚀问题提供了新思路。  相似文献   

7.
摘要:本文研究了碱性精抛液的电化学行为。主要研究内容为不同H2O2浓度电解液中腐蚀电位(Ecorr)和腐蚀电流(Icorr)的变化规律,对比了不同精抛电解液的极化曲线,分析了H2O2的钝化作用对控制碟形坑的影响。结果表明:在电化学实验过程中,随着H2O2浓度的增加,腐蚀电位逐渐增加然后趋于平缓,相反腐蚀电流逐渐减小。同时,精抛后的碟形坑随着H2O2浓度的增加而减小,平坦化效果得到了优化。  相似文献   

8.
This work investigates the static corrosion and removal rates of copper as functions of H202 and FA/OIIconcentration, and uses DC electrochemical measurements such as open circuit potential (OCP), Tafel ana- lysis, as well as cyclic voltammetry (CV) to study HaOa and FA/OIIdependent surface reactions of Cu coupon electrode in alkaline slurry without an inhibitor. An atomic force microscopy (AFM) technique is also used to measure the surface roughness and surface morphology of copper in static corrosion and polishing conditions. It is shown that 0.5 vol.% H202 should be the primary choice to achieve high material removal rate. The electro- chemical results reveal that the addition of FA/O II can dissolve partial oxide film to accelerate the electrochemical anodic reactions and make the oxide layer porous, so that the structurally weak oxide film can be easily removed by mechanical abrasion. The variation of surface roughness and morphology of copper under static conditions is consistent with and provides further support for the reaction mechanisms proposed in the context of DC electro- chemical measurements. In addition, in the presence of H202, 3 vol.% FA/O II may be significantly effective from a surface roughness perspective to obtain a relatively flat copper surface in chemical mechanical planarization (CMP) process.  相似文献   

9.
In this research, we conducted a series of experiments to investigate the mechanisms of chemical mechanical polishing (CMP) of silicon. Experimental approaches include tribological tests of frictional and lubricating behavior, chemical analysis, and surface characterization. Specifically, the effects of pH in slurry, surface roughness of wafers, and nano-particle size on removal rate were studied. A transmission electron microscope (TEM), a scanning electron microscope (SEM), and x-ray characterization tools were used to study the change of surface structure and chemistry. Experimental results indicate that the removal rate and planarization are dominated by the surface chemistry.  相似文献   

10.
Abrasive particles used in chemical mechanical planarization (CMP) of copper often agglomerate and cause scratches on the finished surface. Abrasive-free CMP offers a feasible solution to this problem, and our present work examines four dicarboxylic acids (oxalic, malonic, succinic and glutaric, with increasing carbon chain lengths) as possible complexing agents for such a chemically dominated CMP process. At pH 3.0-4.0, oxalic and malonic acids are most effective for abrasive-free Cu removal. The rates of Cu dissolution and polish (with or without abrasives) are correlated with pH dependent distributions of mono-anionic (for oxalic and malonic) and neutral (for succinic and glutaric) acid species. The surface morphologies of a Cu wafers obtained by abrasive-free CMP in these acids also are more defect free and flat compared to those obtained using abrasives.  相似文献   

11.
Many researchers studying copper chemical mechanical planarization (CMP) have been focused on mechanisms of copper removal using various chemicals. On the basis of these previous works, we studied the effect of slurry components on uniformity. Chemical mechanical planarization of copper was performed using citric acid (C6H8O7), hydrogen peroxide (H2O2), colloidal silica, and benzotriazole (BTA, C6H4N3H) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. As citric acid was added to copper CMP slurry (pH 4) containing 3 vol% hydrogen peroxide and 3 wt% colloidal silica, the material removal (MRR) at the wafer center was higher than its edge. Hydrogen peroxide could not induce a remarkable change in the profile of MRR. Colloidal silica, used as an abrasive in copper CMP slurry containing 0.01 M of citric acid and 3 vol% of hydrogen peroxide, controlled the profile of MRR by abrading the wafer edge. BTA as a corrosion inhibitor decreased the MRR and seems to control the material removal around the wafer center. All the results of in this study showed that the MRR profile of copper CMP could be controlled by the contents of slurry components.  相似文献   

12.
The effect of mechanical process parameters such as down force and rotation speed on friction behavior and material removal rate (MRR) was investigated during chemical mechanical polishing (CMP) of sapphire substrate. It was found that the increase in both rotation speed and down force can enhance the MRR and friction force almost linearly depends on the down force and rotation speed. The coefficient of friction (COF) decreases with increasing rotation speed under a fixed down force but keeps constant regardless of variation in down force under a fixed rotation speed. Moreover, the relationship between friction force and MRR was obtained. MRR was proportional to friction force with increasing down force whereas converse proportional to that with increasing the rotation speed. In addition, MRR data are fitted to the Preston equation in the sapphire CMP.  相似文献   

13.
提出了一个计算化学机械抛光(Chemical Mechanical Polishing,CMP)过程中硅片所受扭矩的模型,并通过CMP过程中摩擦力和扭矩的在线检测试验进行了验证。试验结果表明该扭矩计算模型可以较好地描述CMP过程中硅片所受扭矩的特征。该研究可以为基于抛光头旋转轴扭矩变化的CMP终点检测方法提供理论依据。  相似文献   

14.
A model for calculating friction torque during the chemical mechanical polishing(CMP) process is presented,and the friction force and torque detection experiments during the CMP process are carried out to verify the model.The results show that the model can well describe the feature of friction torque during CMP processing. The research results provide a theoretical foundation for the CMP endpoint detection method based on the change of the torque of the polishing head rotational spindle.  相似文献   

15.
Ceria-based high selectivity slurry (HSS), which shows high polishing selectivity of silicon oxide to silicon nitride, was applied to the shallow trench isolation (STI) chemical mechanical planarization (CMP) process for giga-bit scale memory fabrication. While the wafer-to-wafer non-uniformity (WTWNU) and within-wafer non-uniformity (WIWNU) are superior to conventional silica-based slurry, the level of slurry induced scratches is too high for the ceria-based slurry to be used in present CMP processes. By optimizing the CMP process and filtering method, however, the number and depth of these scratches were reduced considerably to the level where the yield of gate oxide was sufficient to meet the requirement of manufacturing. In this paper, the authors discussed the possible causes of scratches when using ceria-based slurry and how these scratches affect to lower the breakdown yield of gate oxides. In addition, the authors investigated the relationship between within wafer non-uniformity and cell threshold voltage (Vt) variation and probe test 1 (PT1) yield variation.  相似文献   

16.
蒋勐婷  刘玉岭 《半导体学报》2014,35(12):126001-5
Chemical mechanical planarization(CMP) is a critical process in deep sub-micron integrated circuit manufacturing. This study aims to improve the planarization capability of slurry, while minimizing the mechanical action of the pressure and silica abrasive. Through conducting a series of single-factor experiments, the appropriate pressure and the optimum abrasive concentration for the alkaline slurry were confirmed. However, the reduced mechanical action may bring about a decline of the polishing rate, and further resulting in the decrease of throughput.Therefore, we take an approach to compensating for the loss of mechanical action by optimizing the composition of the slurry to enhance the chemical action in the CMP process. So 0.5 wt% abrasive concentration of alkaline slurry for copper polishing was developed, it can achieve planarization efficiently and obtain a wafer surface with no corrosion defect at a reduced pressure of 1.0 psi. The results presented here will contribute to the development of a “softer gentler polishing” technique in the future.  相似文献   

17.
张泽芳  刘卫丽  宋志棠 《半导体学报》2010,31(11):116003-116003-4
The effect of the ammonium molybdate concentration on the material removal rate(MRR) and surface quality in the preliminary chemical mechanical polishing(CMP) of a rough glass substrate was investigated using a silica-based slurry.Experimental results reveal that the ammonium molybdate concentration has a strong influence on the CMP behaviors of glass substrates.When the ammonium molybdate was added to the baseline slurry,polishing rates increased,and then decreased with a transition at 2 wt.%,and the ro...  相似文献   

18.
为了满足先进电子产品对玻璃母盘基片的表面超光滑的要求,氧化硅基抛光液平坦性能的重要性日益凸显,但它的致命缺点是抛光速率较低。本文采用胶体氧化硅为磨料,钼酸铵为抛光速率促进剂,研究了其浓度对玻璃基片材料去除率、抛光后表面粗糙度和抛光过程中摩擦系数的影响。结果表明,当钼酸铵浓度为2%时,可以达到最高的材料去除率和最低的表面粗糙度。另外,在线摩擦系数测试表明材料去除率和摩擦系数成正比。  相似文献   

19.
There is a lot ofhydroxyl on the surface ofnano SiO2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO2 sol is also directly involved in the chemical reaction. The stability of SiO2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO2 sol. Polarization curves and corrosion potential of different concentrations of SiO2 sol showed that trace SiO2 sol can effectively weaken the passivation film thickness. In other words, SiO2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate without changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO2 catalytic reaction were derived from the decomposition rate of Cu (OH)2 and the pH value of the system, and then it was concluded that the CuSiO3 as intermediates of Cu/SiO2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO2 catalytic system generated the intermediate of the catalytic reaction (CuSiO3) in the CMP process through the removal rate of copper film, infrared spectrum and AFM diagrams in different pH conditions. FinalLy it is concluded that the SiO2 sol used in the experiment possesses stable performance; in the CMP process it is directly involved in the chemical reaction by creating the intermediate of the catalytic reaction (CuSiO3) whose yield is proportional to the pH value, which accelerates the removal of copper film.  相似文献   

20.
Pad conditioning plays an important role in chemical mechanical planarization processes as it directly impacts pad topography and polishing performance. As predicted by the conditioning, friction and removal rate theories, the conditioning process impacts polishing rate through a key measure of the pad surface known as surface abruptness (λ). In this study, incremental loading as well as interferometry methods are used to analyze pad surface topography in terms of λ when the pads are conditioned using discs with different diamond grit sizes at different loads. Moreover, the pad surface is analyzed mechanically and compared in both dry and moist conditions. Results agree well with the theoretical predictions both in the dry and the moist conditions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号