首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
This paper reports a new design and microfabrication process for high sensing guard-armed silicon dioxide (SiO2 ) microcantilever sensor, which can be widely used in chemical, environmental and biomedical applications. One sensor platform consists of two SiO2 cantilever beams as the sensing and reference elements, two connecting wings, and three guard arms. The guard arms prevent damage to the cantilever beam from collision. To efficiently release the SiO2 cantilevers from the silicon substrate, an isotropic etch method using inductively coupled plasma (ICP) was developed. The isotropic etching with ICP system provides an advantage in good profile control and high etching rate than wet isotropic etching or conventional RIE (reactive ion etching); however, it has not been gained many attentions. In this work, the effects of chamber pressure, plasma source power, substrate power, SF6 flow rate relating with Si etching rate, undercutting rate, and isotropic ratio were investigated and discussed. The optimum isotropic etching process achieved a 9.1 mum/min etch rate, 70% isotropic ratio, and 92% etching uniformity. The SiO2 cantilever sensor was fabricated and the cantilever beam was successfully released using a patterned photoresist layer as an etching mask. This plasma isotropic etching release processing can be also applied to release other SiO2 or metal suspended MEMS structures, such as bridges and membranes, with a fast etch rate and reasonable isotropic ratio.  相似文献   

2.
Ion-enhanced plasma etching has been widely used in Micro-Electro-Mechanical Systems (MEMS) and semiconductor manufacture. Especially, the pattern transfer in the production of micro-electronic devices requires high anisotropy etch to achieve deep and vertical trench profiles. Usually, a bias power will be applied on the substrate to form a sheath from which ions gain their kinetic energies, which is key for the ion assisted etching yield. In this work, we simulated a 2D profile evolution progress for chlorine etching of silicon. In addition to a DC bias investigation, we also consider a radio frequency (RF) biased sheath. In the method, first, a sheath model is used to get the ion energy distributions (IEDs) and ion angular distributions (IADs) of ions entering into the trench region after passing through the sheath. Then, ion motions in trench and flux distributions at the trench surface are calculated by tracing ion trajectories in the local electric field. Finally, considering the ion assisted etch yield of silicon in chlorine plasma, the cell removal algorithm is simulated to achieve evolution progress of the trench. Influences of different aspects such as the ion reflection, the bias voltage, the charging of the mask sidewalls, and the discharge pressure on the profile evolution are studied. Results show that ion reflections on sidewalls and local electric field in the trench cause the trenching, a large voltage can cause tapering, and the application of RF bias will reduce the trenching and achieve a larger etch rate. The gas pressure is also key in the trench formation. We can achieve more ideal trench topography by adjusting these discharge parameters.  相似文献   

3.
In this paper, we present results of recent research from our laboratory directed toward a manufacturable SiC surface micromachining technology for microelectromechanical systems (MEMS) applications. These include the development of a low-pressure chemical vapor deposition and in situ doping processes for silicon carbide (SiC) films at relatively low temperatures, as well as the development of selective dry etching processes for SiC using nonmetallic masking materials. Doped polycrystalline SiC films are deposited at 800/spl deg/C by using a precursor 1,3-disilabutane and dopant gas NH/sub 3/, with the minimum resistivity of 26 m/spl Omega//spl middot/cm. Dry etching for SiC and its selectivity toward silicon dioxide and silicon nitride masking materials are investigated using SF/sub 6//O/sub 2/, HBr, and HBr/Cl/sub 2/ transformer coupled plasmas. The etch rate, etch selectivity, and etch profile are characterized and compared for each etch chemistry. By combining the LPCVD and dry etching process with conventional microfabrication technologies, a multiuser SiC MEMS process is developed.  相似文献   

4.
Two InP‐based III–V semiconductor etching recipes are presented for fabrication of on‐chip laser photonic devices. Using inductively coupled plasma system with a methane free gas chemistry of chlorine and nitrogen at a high substrate temperature of 250 °C, high aspect ratio, anisotropic InP‐based nano‐structures are etched. Scanning electron microscopy images show vertical sidewall profile of 90° ± 3°, with aspect ratio as high as 10. Atomic Force microscopy measures a smooth sidewall roughness root‐mean‐square of 2.60 nm over a 3 × 3 μm scan area. The smallest feature size etched in this work is a nano‐ring with inner diameter of 240 nm. The etching recipe and critical factors such as chamber pressure and the carrier plate effect are discussed. The second recipe is of low temperature (?10 °C) using Cl2 and BCl3 chemistry. This recipe is useful for etching large areas of III–V to reveal the underlying substrate. The availability of these two recipes has created a flexible III–V etching platform for fabrication of on‐chip laser photonic devices. As an application example, anisotropic InP‐based waveguides of 3 μm width are fabricated using the Cl2 and N2 etch recipe and waveguide loss of 4.5 dB mm?1 is obtained.
  相似文献   

5.
A new technique, called “plasma defect etching” (PDE), is proposed for studying the continuity of ultra-thin layers. The PDE technique utilizes the extremely high selectivity in the deep reactive ion etching (DRIE) process, thus achieving visualization of the defects in the layer, because etching of substrate happens only through voids and microholes of the layer. The etch profile generally reproduces the non-continuous structure of the layer. This PDE technique was applied for the investigation of thin, sub-nm aluminum oxide films grown on silicon wafers by atomic layer deposition (ALD) technique. Silicon substrate was etched by SF6 at cryogenic temperatures in an inductively coupled plasma (ICP) reactor, exploiting the extremely high ratio of silicon/aluminum oxide etch rates in fluorine plasmas. The surface morphology was characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM). The PDE method shows that in the case of water as an oxidation precursor, separate islands of aluminum oxide form during the five first ALD cycles. On the other hand, the use of ozone precursor helps to oxidize silicon surface and facilitates growth of a uniform layer.  相似文献   

6.
气体探测用低功耗微型加热器研究   总被引:1,自引:0,他引:1  
介绍了一种基于MEMS技术的可用于气体探测的低功耗微型加热器.通过结构优化和工艺参数控制实现了一种低功耗和高机械强度加热器的设计与制作.该加热器采用悬膜式结构,中心加热膜区通过4根细长的悬梁与衬底框架相连,铂电阻丝作为加热元件以折线的形式排列在中心膜区上,采用硅各向异性腐蚀液正面释放薄膜,并在薄膜下方形成倒金字塔型的隔热腔体.测试结果表明,加热器在400 C时功耗仅为26 mw,且加热器的升温响应时间小于5 ms,降温响应时间小于2 ms.  相似文献   

7.
High ion density dry etching of compound semiconductors   总被引:1,自引:0,他引:1  
The use of plasma sources that generate high ion densities (> 1011 cm−3) enables dry etching of compound semiconductors at high rates with anisotropic sidewalls. In this paper we review the use of several types of electron cyclotron resonance (ECR) plasma sources and contrast the result with those obtained under reactive ion etching conditions. Various problems occurring in dry etching will be discussed, including aspect ratio dependent etch rates, mask erosion, sidewall roughening and damage introduction into the semiconductor. This damage may consist of point and line defect creation, non-stoichiometric surfaces, resputtering of mask materials or deposition of contaminating films. The use of low or high substrate temperatures to control the desorption kinetics of etch products is also discussed; at low temperatures problems can occur with condensation of the etch gases onto the substrate, while at elevated temperatures it is necessary to thermally bond the sample to the r.f. powered electrode to obtain reproducibility. Etch selectivity between the components of heterostructure systems such as GaAs/AlGaAs, GaAs/InGaP, InGaAs/AlInAs and GaN/AlN is usually much worse under high ion density conditions because of the high rates and large physical component.  相似文献   

8.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

9.
基于多掩膜光刻工艺的MEMS体硅加工   总被引:2,自引:0,他引:2  
本文提出了一种新颖的MEMS多掩膜工艺,实现了带有大台阶和大深宽比窄槽的衬底上的体硅精细加工。通过薄胶多次光刻在衬底上制作出氧化硅(SiO2)、氮化硅(Si3N4)、光刻胶(photo-resist,PR)等材料的多层掩膜图形,每层掩膜可以进行一次衬底刻蚀或腐蚀,刻蚀或腐蚀完毕后去除该层掩膜。该工艺解决了MEMS工艺中的深坑涂胶和光刻问题,结合深反应离子刻蚀(Deep Re-active Ion Etching,DR IE)、湿法腐蚀等工艺可以用于多级台阶、深坑底部精细结构、微结构释放等MEMS工艺。  相似文献   

10.
Yan S  Xu Y  Yang J  Wang H  Jin Z  Wang Y 《Nanotechnology》2011,22(12):125301
Nano-needles play important roles in nanoscale operations. However, current nano-needle fabrication is usually expensive and controling the sizes and angles is complicated. We have developed a simple and low cost silicon nano-needle fabrication method using traditional microelectromechanical system (MEMS) tetramethyl ammonium hydroxide (TMAH) etching techniques. We take advantage of the fact that the decrease of the silicon etch rate in TMAH solutions exhibits an inverse fourth power dependence on the boron doping concentration in our nano-needle fabrication. Silicon nano-needles, with high aspect ratio and sharp angles θ as small as 2.9°, are obtained, which could be used for bio-sensors and nano-handling procedures, such as penetrating living cells. An analytic model is proposed to explain the etching evolution of the experimental results, which is used to predict the needle angle, length, and etching time. Based on our method, nano-needles with small acute angle θ can be obtained.  相似文献   

11.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

12.
MEMS应用领域的扩展要求开发硅材料之外其他新型材料的三维微细加工技术.为此,对金属钛这一新型MEMS体材料的三维加工进行了探索.金属钛不仅延展性和导电性好,且断裂韧度高、高低温特性以及生物兼容性好.采用电感耦合等离子体源(inductively coupled plasma,ICP)技术对金属钛进行三维深刻蚀,采用不同刻蚀掩模、氯基刻蚀气体,研究了线圈功率、平板功率和Cl2流量对刻蚀速率和选择比等工艺参数的影响,并对Ti深刻蚀参数进行了优化,得到0.91μm/min的刻蚀速率,可实现光滑表面和陡直侧壁.  相似文献   

13.
One of the limiting factors in applications of plasma etching in nanotechnologies in general will be the control of plasma induced roughness or perhaps control of surface roughness by plasma etching. In this paper we consider roughening of nanocomposite materials during plasma etching for two etching modes (isotropic and anisotropic) by using a level set method. It was found that the presence of two phases with different etch rates (the ratio of the two etch rates is s and the abundance of one phase is p) affects the evolution of the surface roughness and that the etch rate is higher during the isotropic process as compared to the anisotropic process for all values of s and p. At the same time, in case of isotropic process, the higher s leads to a higher overall etch rate. The obtained results apart from their theoretical relevance, have practical implications for surface treatment of nanocomposite materials.  相似文献   

14.
Etch characteristics of magnetic tunnel junction (MTJ) stack masked with TiN films were investigated using an inductively coupled plasma reactive ion etcher in Cl2/Ar and BCl3/Ar gases for magnetic random access memory. The effect of etch gas on the etch profile of MTJ stacks was examined. As Cl2 and BCl3 concentrations increased, the etch slope of etched MTJ stack became slanted and the dimensional shrinkage was observed. A high degree of anisotropic etching of MTJ stacks was achieved using Cl2/Ar gas at the optimized etch conditions.  相似文献   

15.
Compared with wet etching techniques for the fabrication of MOS integrated circuit (IC) devices, plasma etching can offer better line fidelity (through reduced undercutting of the resist mask), greater tolerance towards adhesion of the resist layer and, in some cases, process simplification. However, because of the small but finite etch rates of the masking resist and the substrate material, careful consideration must be given to the minimum thickness of resist used and to the maximum amount of over-etching that can be tolerated. These factors are illustrated by considering the etching of silicon nitride, aluminium and silicon dioxide layers. It is shown that the plasma etching of silicon nitride can result in process simplification, whilst the plasma etching of aluminium and aluminium-silicon layers gives excellent edge definition and is fully compatible with conventional IC processing, provided due consideration is given to the thickness and character of the masking resist layer. The plasma etching of oxide layers with adequate selectivity can now be achieved and is particularly useful for the high resolution etching of windows in phosphorous-doped oxide layers.  相似文献   

16.
We have investigated the selective etching of 50 μm diameter via-holes for etch depth >200 μm using 30 μm thick photo resist mask in Inductively Coupled Plasma system with Cl2/BCl3 chemistry. Resultant etch rate/etch profiles are studied as a function of ICP process parameters and photo resist mask sidewall profile. Etch yield and aspect ratio variation with process pressure and substrate bias is also investigated at constant ICP power. The etch yield of ICP process increased with pressure due to reactant limited etch mechanism and reached a maximum of ∼19 for 200 μm depth at 50 mTorr pressure, 950 W coil power, 80 W substrate bias with an etch rate ∼4.9 μm/min. Final aspect ratio of etched holes is increased with pressure from 1.02 at 20 mTorr to 1.38 at 40 mTorr respectively for fixed etch time and then decreased to 1.24 at 50 mTorr pressure. The resultant final etch profile and undercut is found to have a strong dependence on the initial slope of photo resist mask sidewall angle and its selectivity in the pressure range of 20-50mTorr.  相似文献   

17.
In this study the etch development of high aspect ratio vias in Si for the fabrication of Cu nails is described. To enable subsequent metallisation, these vias need to meet strict requirements with respect to uniformity, slope, sidewall roughness and undercut. For aspect ratios up to 5 a SiO2 hard mask based SF6/O2 etch approach is used. For aspect ratios up to 10, a resist based passivation polymer type etch approach with C4F8/SF6 was used to successfully pattern vias in Si. Typical problems of this process and optimization to overcome the issues are described.  相似文献   

18.
A semi-empirical profile simulator was employed to better understand fundamental mechanisms of feature evolution in a high aspect ratio contact plasma etch process. Simulation results showed that the net deposition rate of polymer on sidewall defined the necking and surface scattering of ions from the secondary facet caused the formation of bowing. As neutral depositor flux was increased, the resulting profile showed a monotonic increase in necking. In contrast, the extent of bowing showed a maximum, such that minimal bowing was obtained at low and at high depositor fluxes. Primary faceting of photo resist showed only a small influence on the SiO2 etch profile.  相似文献   

19.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

20.
Su Ryun Min 《Thin solid films》2008,516(11):3521-3529
The etching characteristics of ZnO thin films were examined in an HBr/Ar gas mix using an inductively coupled plasma reactive ion etching system. The etch rate and etch profile were systematically investigated as a function of gas concentration. In addition, the effects of etch parameters such as coil rf power, dc-bias voltage, and gas pressure were studied. As the HBr concentration increased, the etch rate of the ZnO films gradually decreased while the etch profile was improved. Surface analyses including X-ray photoelectron spectroscopy and atomic force microscopy were employed to elucidate the etch mechanism of ZnO in an HBr/Ar chemistry.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号