首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 109 毫秒
1.
边计年  卢峰 《计算机学报》1997,20(11):996-1002
本文提出一种适应调试功能的VHDL模型及VHDL模拟算法---VSIM。它与可视化VHDL原理图输入工具VDES和高级图形调试器VDBX结合在一起,为设计者检查、修改自己的设计提供了极大的便利。该模拟器采用层次式结构行为混合模型,保存VHDL描述的所有信息和结构,以利于实现调试功能。模拟算法采用基于进程的事件驱动算法及层次式模块调用算法,并提供模拟时间、语句行、模块(包括元件、进程和子程序)、信号  相似文献   

2.
V2C++——一个用C++实现的VHDL翻译型模拟器   总被引:2,自引:0,他引:2  
由于面向对象的C++语言更贴近描述硬件对象的VHDL语言C++实现翻译型VHDL模拟器,并利用C++本身的编译器的优化功能,可以得到运行的时间和空间方面效率较高的VHDL模拟器,V2C++的原型设计和初步实践表明,用C++实现VHDL翻译性模拟器比解释性模拟器具有较高效率,利于较大规模的电路的模拟。  相似文献   

3.
基于时钟周期的VHDL模拟算法   总被引:1,自引:1,他引:0  
为了提高VHDL模拟器运行速度,使用了一种基于周期算法。这种算法利用同步电路的特点,通过忽略周期内部的定时信息得到很高的模拟性能。其缺点是这种算法只适用于同步描述,在实现VHDL模拟器过程中,设计了基于周期算法模拟核心和事件驱动算法模拟核心,对同步描述和非同步描述分别使用不同的模拟核心模拟,体现了协同模拟概念,经过实验,可知基于周期算法可以比较显著地提高VHDL模拟器速度。  相似文献   

4.
用VHDL-AMS进行概念设计   总被引:4,自引:3,他引:1  
VHDL-AMS是VHDL向模拟和混合信号领域的诉展,VHLD-AMS为设计者提供了在概念级处理复杂系统的能力,随着VHDL-AMS的标准化,将诞生处理复杂的模拟和混合信号模型的有效的模拟器,文中介绍了VHLD-AMS模拟扩展的主要内容,展示了一个混合模式模拟环境,并给出了模拟解算器的构成,讨论了连续和离散模拟的同步问题;用4个例子说明VHDL-AMS在概念设计中的应用。  相似文献   

5.
Mentor改变方向,获准VHDL模拟器技术制造权Mentor的Graphics突然更换策略,在VHDL模拟器市场中宣布准许用M。d。l技术,该技术将使用MTI的模拟器作为Mentor产品新系列的核心.这政策标志Mentor3年多的模拟策略从直接销售...  相似文献   

6.
本文从系统设计的角度介绍了应用VHDL进行系统设计的设计风格,结合一个典型例子。说明如何采用VHDL开始一个自顶向下的设计,指出如何在现有的环境中有效开发VHDL的应用。  相似文献   

7.
VHDL与电子自动化   总被引:1,自引:0,他引:1  
随着电子设计自动化(EDA)时代的到来,传统的硬件设计方法已经无法满足人们的要求了,VHDL语言作为一种高级硬件描述语言,由于它能以形式化的方式描述数字系统,从而大部分繁琐的工作可以由计算机来完成,而设计师能专注于整个系统的设计。VHDL的出现为电子设计自动化(EDA)的发展打下了坚实的基础,也给硬件设计领域带来一场革新。本文就此介绍了VHDL语言的基本构成和语句,及使用VHDL语言设计的优点。  相似文献   

8.
基于VHDL的FPGA器件设计   总被引:3,自引:3,他引:3  
本文详细讨论了用VHDL语言进行FPGA设计的方法,阐明了VHDL语言的基本概念以及VHDL的综合过程,并举例说明了如何编写可综合的RTL级VHDL代码。  相似文献   

9.
本文提出在VerilogHDL硬件描述语言和Verilog-XL模拟器环境下,实现行为驱动的VLSI硬件结构设计方法,从而生成寄存器传输级的数据通道和控制通道,给出了智能机械手实时控制主用处理器结构设计实例。  相似文献   

10.
高级综合中工艺单元VHDL模拟模型的建立方法   总被引:2,自引:0,他引:2  
针对在实现HLS/BIT系统时,需建立工艺单元的延时模型,面积模型及其VHDL模拟模型库进行讨论,并给出建立上述诸模型的方法,用此方法建立的VHDL模型可嵌入到大多数VHDL模拟器,从而实现工艺相关的“后模拟”,这对高级综合器的使用才十分有用,因此,本方法具有一定普遍意义。  相似文献   

11.
在研究VHDL编译器和智能体的基础上,提出了一种基于智能体的VHDL网络编译器的设计,并运用Java语言进行了具体开发。介绍了编译器的总体结构,并着重阐述了其网络功能的具体实现。对基于智能体的VHDL网络编译器进行了总结和展望。  相似文献   

12.
介绍一种在语音识别系统中运用FPGA技术对语音信号进行前期实时处理的方法.利用DSP Builder设计信号处理算法的图形化电路模块,运用硬件环(HIL Hardware in the Loop)技术对模块进行软硬件协同仿真.满足设计要求后,再用Signal Compiler将模块转换成VHDL语言和Quartus Ⅱ工程文件下载至目标芯片.结果表明此方法可以快速灵活地设计出语音处理模块,语音数据能在要求的时间范围内处理完毕,达到了实时处理的目的.  相似文献   

13.
VHDL-C++翻译器设计与实现   总被引:1,自引:0,他引:1  
吴清平  刘明业 《软件学报》2002,13(11):2201-2207
  相似文献   

14.
VHDL-AMS is the Analog and Mixed-Signal Extensions to VHDL.The paper gives a brief overview of the added features to VHDL.A mixed-signal simulator has been developed based on VHDL-AMS.A new synchronization algorithm is adopted in the simulator.Using the new algorithm the analog kernel does not need to synchronize the digital kernel at each digital event time point.The effciency of the new synchronization algorthm is tested by examples.Simulation results show the newly developed algorithm can speed up the simulation.  相似文献   

15.
This paper proposes a mixed-level simulator for dynamic coarse-grained reconfigurable processor(CGRP),called ReSSIM(reconfigurable system simulation implementation mechanism),and the corresponding simulation tool-chain,including task compiler,profiler and debugger.A generic modeling methodology supporting convenient extension of on-chip modules is also proposed.In order to explore the details of the interested modules while maintaining reasonable simulation speed,RCA(reconfigurable computing array),the key reconfigurable device in ReSSIM,is modeled on cycle-accurate level,while the other modules are modeled on transaction level.The typical parameters of RCA are scalable and adjustable,which helps the architects to explore the massive details of the reconfigurable device.Experiment shows that simulation speedup achieved ranges from 9.26× to 18.39× compared with VCS(Synopsys verilog compiler simulator) when running three computingintensive kernel tasks of H.264 decoding algorithm-IDCT(inverse discrete cosine transform),deblocking and MC-chroma(motion compensation).Simulation speed for a set of real applications,such as MPEG4,G.729 and EFR,is 35× slower than the corresponding native executions(i.e.measured from the real chip).And the relative simulation errors are 11% less than the measured IPC(instructions per cycle) of the real chip.  相似文献   

16.
17.
VHDL事件驱动模拟核心库   总被引:4,自引:0,他引:4  
论述了一个为构造编译型VHDL模拟系统而设计的模拟核心库,它采用事件驱动的模拟算法进行元件调度,使其适用于同步电路和异步电路的模拟,采用多值延迟模型,可同时完成功能验证和时序验证工作;采用多数据类型表示形式,适用于从系统行为级,寄存器传输级到逻辑门级的设计模拟验证工作,模拟核心库使用标准C++语言设计,采用面向对象编程思想构造核心库的结构,并使用C++虚接口为被模拟供简单的建模接口,通过实验证明此模拟核心库具有简单,正确,高效,可扩充和平台通用等优点,适合于编译型模拟系统的构造。  相似文献   

18.
VHDL编译型事件驱动模拟算法   总被引:8,自引:0,他引:8  
VHDL模拟器在VLSI高层设计验证中起着重要的作用,设计正确性的快速有效检索对加快整个设计流程至关重要,模拟算法从根本上决定了模拟验证的效率,是构造高效模拟器的关键。文中讨论了VHDL的各种不同模拟算法,提出了将编译型实现算法与事件驱动调度算法结合的模拟算法,并提出了将VHDL设计源描述转化为等价C++代码再编译为机器目标代码的模拟算法实现方法。此算法结合了事件驱动调度算法的模拟元件数少和编译型实现算法执行速度高的优点,并巧妙利用了面向对象的多态性特点,具有速度快、直观和易于扩弃的优点。文章最后给出了试验结果,进一步说明了算法的效率和优点。  相似文献   

19.
以FPGA为硬件平台,利用FPGA的DSP开发工具DSP Builder对数字滤波器进行建模设计及系统模型仿真,生成VHDL工程文件,编制相应顶层文件,使其符合滤波器硬件系统。利用QuartusⅡ对项目进行综合、编译和调试,生成原理图模块和RTL电路图。通过对5 kHz方波信号进行仿真滤波,并将VHDL下载到硬件系统中进行硬件实现,有效地提取到5 kHz的正弦信号。实验结果表明,该设计很好地达到了FIR滤波器的性能,为数字滤波器的设计与实现提供了新的途径和方法。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号