首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到15条相似文献,搜索用时 62 毫秒
1.
基于FPGA的HDLC协议实现   总被引:2,自引:0,他引:2  
刘岩俊  闫海霞  何昕   《电子器件》2009,32(3):707-710
为了实现高速串行通讯,设计了基于FPGA的RS485总线的通讯接口,FPGA与DSP之间采用双FIFO进行数据缓存,并且通过DSP总线与DSP进行数据交换;开发了以FPGA和DSP为核心的原理图与印制电路板,使用VHDL语言开发了HDLC通讯协议的控制时序.实验结果表明:系统的持续存储速度可以达到1 Mbit/s,工作稳定可靠,没有丢帧、串帧等丢失数据现象.  相似文献   

2.
高级数据链路控制(HDLC)协议是在数字通信领域中广泛应用的协议之一,用以在远程用户之间实现资源共享和信息交互。HDLC协议是一种面向比特流的数据链路层协议,其特点有高效性、可靠的透明传输以及强大的差错检测功能。文章介绍了HDLC协议的帧结构,并研究了HDLC协议在发送端如何组帧以及接收端实现解帧的过程,提出一种利用FPGA编程实现HDLC协议的硬件处理方法,将编写的代码下载到Xilinx FPGA芯片中并测试通过,证实了HDLC协议利用FPGA实现的可行性。与HDLC专用芯片相比,该方法可靠性高、灵活性好以及实现简单,具有良好的应用前景。  相似文献   

3.
HDLC(高级数据链路控制)采用软件编程与FPGA共同实现的方法具有灵活,速度快,特别适合于DSP+FPGA的数字硬件平台的接口设计.  相似文献   

4.
HDLC控制协议的FPGA设计与实现   总被引:2,自引:0,他引:2  
设计了一种基于FPGA的HDLC协议控制系统,该系统可有效利用FPGA片内硬件资源,无需外围电路,高度集成且操作简单。重点对协议的CRC校验及“0”比特插入模块进行了介绍,给出了相应的VHDL代码及功能仿真波形图。  相似文献   

5.
基于FPGA的HDLC协议的设计与实现   总被引:1,自引:0,他引:1  
张文昊  王俊  于鹏飞  武伟   《电子器件》2009,32(3):696-699
高级数据链路控制(HDLC)协议是数字通信中的重要协议之一.通过分析当前实现HDLC协议的一般方法,指出其存在的一些弊端,提出了一种利用FPGA编程实现HDLC协议的硬件处理方法,并对FPGA如何实现HDLC协议的帧序列校验--循环冗余校验(CRC)进行了阐述.模块下载到硬件中测试通过,证实了FPGA实现HDLC协议的可行性,模块编程简单且易于修改使其在应用中具有很大的优越性.  相似文献   

6.
高级数据链路控制(HDLC)协议是数字通信中的重要协议之一。本文介绍了一种符合中国移动标准要求的HDLC设计。采用Verilog语言完成了电路设计,并用FPGA验证了设计的可行性,并最终使得本设计作为一个完整的IP应用于系列转换器ASIC芯片设计中。体现了采用硬件语言设计电路强大的灵活性和可移植性。  相似文献   

7.
HDLC协议作为一种数字通信技术中使用较为广泛的高级链路传输协议,在如今已经被用于计算机通信的各项领域当中,不过显然其在被应用的过程中同样出现了一些弊端。因此本文以基于FPGA平台的HDLC协议作为研究对象,重点讨论了HDLC协议本身的构成,以及在实现HDLC协议过程中对初始化模块、发送模块、接收模块进行了论述,最后给出了仿真测试结果。  相似文献   

8.
针对飞控模拟装置中基于HDLC协议通信需求,完成了一种新的基于FPGA+ARM架构HDLC协议控制器的设计。文中首先介绍了HDLC协议的帧结构和循环冗余校验(CRC)原理,然后结合FPGA可进行任意数据宽度操作和ARM编程简单灵活的优点,有效实现了符合HDLC协议的帧结构和CRC校验的应用方法,满足HDLC协议要求。应用结果表明设计能够很好地满足各项功能指标的技术要求。  相似文献   

9.
HDLC的FPGA实现方法   总被引:2,自引:0,他引:2  
HDLC(高级数据链路控制)的一般实现方法为采用ASIC器件和软件编程等。应用ASIC器件时设计简单,但灵活性较差;软件编程方法灵活,但占用处理器资源多。执行速度慢,实时性不易预测。而FPGA器件则采用硬件处理技术,可以反复编程,能够兼顾速度和灵活性,并能多路并行处理,实时性能能够预测和仿真。本设计采用Altera公司的FPGA芯片,在QUARTUSⅡ软件平台上实现了多路HDLC电路的设计,并已在某通信产品中应用成功。  相似文献   

10.
文中针对专用ASIC芯片实现HDLC协议针对性强,使用不灵活等特点,提出了使用FPGAIP核来实现HDLC接口的设计方案。HDLCIP核包括3个模块:对外接口模块、接收模块和发送模块。IP核接收到新数据后存入接收FIFO,对外接口模块将接收到的数据通过总线将数据送入数据处理单元;当需要发送数据时数据处理单元通过总线将数据存入发送FIFO,启动发送模块将数据送出。接收和发送模块自动完成数据的”插零”及”删零”操作。仿真结果表明该IP核能够正确的接收和发送数据。该方法已在某雷达天线的同步引导数据的收发通信链路中,成功实现了双向数据通信。  相似文献   

11.
基于FPGA的HDLC设计实现   总被引:3,自引:0,他引:3  
根据某雷达的实际要求在FPGA中设计了HDLC协议处理器,并详述了该协议器的设计构想及具体功能模块的实现。该设计采用分块处理的方法使设计简单灵活,适合于系统移植和借用。试验结果证明设计可行有效,可以满足系统要求。同时对主要功能模块进行了仿真和测试,提供了关键结点仿真波形图及部分原代码。  相似文献   

12.
异步传输方式的HDLC协议的实现   总被引:1,自引:0,他引:1  
研究实现了一种HDLC(High Level Data Link Control)协议的改进方法,该方法把HDLC协议传统的同步传输方式改成了异步传输方式,既保留了原有HDLC协议的主要优点,又增强了传输数据的抗干扰性能,并节省了通信系统的同步时钟接口连线.文中简要介绍了HDLC的协议规程,并提供了CRC及删"0"部分的VHDL代码.最后,描述了HDLC协议的异步传输方式,并通过FPGA完成了HDLC协议的改进.  相似文献   

13.
多通道高速HDLC处理器的设计与实现   总被引:4,自引:0,他引:4       下载免费PDF全文
陆园琳  乔庐峰  王志功 《电子学报》2003,31(11):1630-1633
本文详述了由一个具有分时处理能力的HDLC处理器对128逻辑通道数据进行高速、并行、实时处理的设计与实现过程,并讨论了其实现关键技术,给出了系统中关键结点的功能仿真波形图.  相似文献   

14.
基于FPGA的图像中值滤波器的硬件实现   总被引:1,自引:1,他引:1  
为了实现图像的实时处理,常采用现场可编程门列阵FPGA对采集的数字图像做预处理,在讨论中值滤波算法原理的基础上,利用VHDL硬件描述语言设计一个中值滤波模块对输入图像进行去噪处理,仿真结果说明该算法满足实时性要求,取得较好的仿真效果,并对中值滤波的改进算法进行了讨论。  相似文献   

15.
采取基-4按频率抽取FFT算法,设计一种可在FPGA上实现的64点、32位长、定点复数FFT处理器.基-4堞形运算单元中采用六级流水线设计,并行处理4路输入/输出数据,能极大地提高FFT的处理速度.该设计采用VHDL描述的多个功能模块,经ModelSim对系统进行逻辑综合与时序仿真.实验证明,利用FPGA实现64点FFT,运算速度快,完全可以处理高速实时信号.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号