首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 78 毫秒
1.
丁世勇  谭文文  李桂英 《电子设计工程》2011,19(21):163-165,169
介绍了基于FPGA的以太网MAC控制器的设计,主要实现了半双工模式下CSMA/CD协议、全双工模式下Pause帧的收发,以及对物理层芯片中寄存器的读写访问。设计采用Verilog硬件描述语,按照自顶向下的设计流程描述了以太网的主要功能模块,该控制器通过Modelsim进行了仿真并进行了FPGA板级验证,验证其能够满足8...  相似文献   

2.
为了解决大数据量长距离传输的稳定性和传输速率的问题,采用复杂可编程芯片FPGA设计出千兆以太网传输系统。为了简化设计,采用MAC+PHY方法实现以太网帧的封装及传输,MAC采用Virtex-4的嵌入式以太网IP核实现,PHY采用MARVELL公司的88E1111芯片实现,两芯片接口采用GMII连接模式。实验仿真结果表明,该传输系统能支持1 000 Mbit/s传输速率,该设计方案是可行的,有一定的实用价值。  相似文献   

3.
基于FPGA的以太网视频广播接收系统的设计   总被引:3,自引:0,他引:3  
陈明华  石旭刚  杨扬 《电讯技术》2002,42(6):127-130
本文介绍了一种实用的基于FPGA的以太网视频广播接收系统,由于采用了FPGA技术,使得系统结构简单,可靠性高。最后进行了波形仿真,结果表明了设计的正确性。  相似文献   

4.
介绍了TMS320DM6446的特性、开发环境以及双核的通信方式,并阐述了基于双核系统的数字电视IP网关的设计及实现结果.  相似文献   

5.
郭航 《信息通信》2015,(3):55-56
介绍了一种简单的基于FPGA的以太网MAC控制器的实现方法。采用Verilog硬件描述语言,运用自顶而下的设计方法描述了MAC控制器的主要功能,并给出了关键模块的仿真波形。主要实现了半双工传输模式下的CSMA/CD协议、发送和接受数据帧的完全状态控制、以及对物理层(PHY)芯片寄存器的读写访问控制。  相似文献   

6.
基于FPGA的以太网控制器设计   总被引:2,自引:0,他引:2  
针对嵌入式系统的底层网络接口给出了一种由FPGA实现的以太网控制器的设计方法,该控制器能支持10 Mbps和100 Mbps的传输速率以及半双工和全双工模式,同时可提供MII接口,可并通过外接以太网物理层(PHY)芯片来实现网络接入.  相似文献   

7.
TS流(传输流)主要用来传输数字电视的视频节目、音频节目和一些用户信息,它是以包的方式存在的,一个包一般为188或204字节。IP是英文IntemetProtocol(因特网协议)的缩写,以下所说的IP主要是指以太网络和部分IP协议。TSOVERIP就是将rrS流在以太网上传输,并遵循一定的IP协议。主要讨论基于FPGA实现数字电视传输流(Ts)在以太网上传输模块的实现方法,并详细分析了各个子模块的具体功能与实现过程,该模块具有集成度高和配置灵活的特点。  相似文献   

8.
针对IP承载网的结构和技术特点,结合目前网络性能测试的现状和需求,提出了一种基于FPGA的IP承载网损伤仪的系统框架,研究了在FPGA上实现模拟丢包率的方法,阐述了损伤仪硬件模块的设计思想。该方案在仿真测试上通过验证,有着良好的应用前景。  相似文献   

9.
微电子技术和计算机技术的飞速发展,使得现代电子系统的设计和应用进入一个全新的时代,基于FPGA的数字系统设计在现代电子系统的设计和应用中占据了越来越重要的作用。FPGA芯片由底层可编程硬件单元、Block Ram资源、布线资源、可配置I/O单元及时钟资源等构成。底层可编程硬件单元一般由触发器(FF)和查找表(LUT)组成,FPGA规模大、频率高,寄存器、触发器资源多,在现代数字系统中比较适合实时性要求高、频率快的系统。  相似文献   

10.
结合国家标准GB-20600-2006相关要求,对数字电视广播传输系统发端结构进行分析,提出发端FPGA设计与实现方案,重点对数字逻辑部分的FPGA设计进行分析,包括信道编码及星座映射、信道调制、信号数据帧的设计与实现。  相似文献   

11.
介绍一种基于FPGA的数字电视调制系统的硬件结构,该结构具有简单、灵活、易升级、安全性好等特点,非常适合于数字电视传输方案。  相似文献   

12.
本文以浙江广电集团好易购电视购物频道SDI和IP融合电视播出平台设计为基础,从总体框架、软件架构、业务流程、SDI播控平台、IP播控平台等方面探讨建设SDI和IP融合播出平台的技术路线和关键技术,旨在探索电视频道SDI和IP融合播出系统的架构思路,分享融合技术平台的设计经验.  相似文献   

13.
本文针对传统ASI(异步串行接口)到SPI(同步并行接口)转换实现方案中的不足,提出了采用FGPA实现ASI到SPI转换的解决方法。文中详细描述了各功能模块的实现细节,包括高速串行数据流的提取、字节边界对齐、串并转换、8B/10B解码、SPI时钟产生以及生成符合SPI协议的数据流,最后采用verilog HDL实现整个设计,给出了其在ModelSim6.0中的时序仿真结果。  相似文献   

14.
基于FPGA的千兆以太网设计   总被引:3,自引:1,他引:3  
千兆以太网拥有传输速度快、传输距离远、稳定可靠等优点,是当前嵌入式系统的应用热点。FPGA拥有丰富的逻辑和管脚资源,常用于高速数据处理和通信的嵌入式系统。本文描述一个基于FPGA的千兆以太网系统的设计,本设计在硬件上主要使用千兆以太网PHY芯片88E1111和Altera公司的StratixⅢ系列的FPGA,在FPGA的逻辑上实现NiosⅡ嵌入式系统和以太网的MAC控制器,在NiosⅡ系统的软件上移植入MicroC/OS-Ⅱ实时多任务操作系统和NicheStackTCP/IP协议堆栈。在FPGA上实现千兆以太网设计,有效提高了系统的可靠性和集成性,充分扩展FPGA的功能。  相似文献   

15.
基于FPGA的可控转速IP核设计   总被引:2,自引:0,他引:2  
根据步进电机的运动特性,设计出一种基于FPGA的通用、可控、高精度转速发生器IP内核.  相似文献   

16.
余泓利  白红  习勇 《现代电子技术》2012,35(11):8-10,13
为了在实际信道条件下研究AdHoc网络MAC协议,克服商业网卡芯片和理论仿真等带来的局限性,搭建了基于ARM和FPGA相结合的硬件平台,设计与实现了基于CSMA/cA的可重构MAC协议,并进行了仿真测试,验证了该协议设计的正确性。对AdHoc网络MAC协议的实用化提供有益的参考。  相似文献   

17.
以太网IEEE802.3协议根据LAN的特点,把数据链路层分成LLC(逻辑链路控制)和MAC(介质访问控制)两个子层.MAC层协议作为数据帧收发的基础,是以太网技术的核心,主要负责上层数据和物理层的数据流量控制和数据流的检测、校验工作.介绍了基于FPGA的10MHz/100MHz以太网MAC控制器的设计,整个设计用Verilog语言实现.自主设计开发验证板,使用Altera厂商的FPGA(EP1C20F400C8)并验证.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号