首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 390 毫秒
1.
从FFT算法出发详细说明了算法原理,并对三种实现FFT算法芯片进行比较,确定选择FPGA芯片进行设计.详细说明了英特尔的FFT IP核相关内容,并结合FFT核的控制要求完成设计,最后利用仿真实验与板级验证实验的结果验证了设计方案的可行性.  相似文献   

2.
根据毫米波雷达信号处理实时性以及大宽带的需求,此次研究使用TMS320C6678多核DSP(TI公司)设计的雷达信号处理系统(DSP+FPGA)可以对大数据量进行多核FFT算法,MATLAB仿真等,同时对FFT算法的精度进行了验证,对大数据量FFT的实现过程给出了相关结论。通过对FFT算法的精度、计算量进行验证与分析有利于为雷达信号处理提供一定的依据,同时说明处理雷达信号大数据量方面多核DSP发挥了关键性作用。  相似文献   

3.
OFDM系统中高速FFT处理器的FPGA实现   总被引:1,自引:0,他引:1  
顾晴茹  周玉洁 《信息技术》2005,29(12):70-73
针对OFDM系统中FFT处理器的设计要求,选择并具体分析FFT基4-DIF算法流程,并利用现场可编程设计开发了高速FFT信号处理器。本设计采用Verilog HDL语言进行描述,并通过了仿真和验证。  相似文献   

4.
定点FFT量化误差模型及性能分析   总被引:2,自引:0,他引:2  
赵敏  张权 《现代电子技术》2011,(21):83-85,88
介绍了快速傅里叶变换(FFT)的基本原理,针对硬件实现中的定点运算,分析推导出了不同FFT长度扣不同量化位数带来的误差模型,并进行了实验验证。结果表明,相同量化位数条件下,FFT长度越长误差越大;相同FFT长度条件下,量化位数越多,误差越小。实验结果为FFT设计提供了参考。  相似文献   

5.
OFDM系统中高速FFT处理器的FPGA实现   总被引:1,自引:0,他引:1  
针对OFDM系统中FFT处理器的设计要求,选择并具体分析FFT基4-DIF算法流程,并利用现场可编程设计开发了高速FFT信号处理器。本设计采用Verilog HDL语言进行描述,并通过了仿真和验证。  相似文献   

6.
为了减小频域均衡系统电路实现的功耗和面积,满足长距离少模光纤通信对均衡器的要求,对关键环节快速傅里叶变换(FFT)电路的实现进行了研究,采用2维分解算法将大点数的FFT运算转换为小点数FFT处理器的设计,降低了硬件复杂度。设计了基于现场可编程门阵列的高速蝶形运算核,实现了16384点FFT的2维R22SDF结构,提高存储器的资源利用率,减少了复数乘法器的使用;进行了理论分析和实验验证,取得了不同时钟频率下的电路结构占用资源的数据。结果表明,FFT运算器的正确性得到验证,该FFT运算器能够适应少模光纤通信系统中优化频域均衡电路结构的要求,能够实现200MHz数据传输速度的频域均衡实时处理。  相似文献   

7.
一种基于高阶近似核DFT的快速实现算法   总被引:1,自引:0,他引:1  
理论分析优化近似核和基2DIT—FFT结构,提出并实现了一种高阶近似核DFT的快速算法。算法基于高阶近似核,无需三角运算实现FFT并提高了动态范围,基于DIT—FFT算法对DFT进行分解和蝶形运算,有效减少了运算量。理论分析和实验结果验证了方法的有效性,DSP硬件验证了算法的快速性。算法简单且具有广泛的适用性。  相似文献   

8.
应用系统对于高速大点数快速傅里叶变换(FFT)处理器的需求越来越大,但大点数FFT意味着资源、面积和功耗的大幅提高,因此如何减少资源和芯片面积成为了在FFT设计中需要考虑的重要问题之一。介绍了适合于大点数FFT设计的基16蝶形算法,并基于此算法针对如何在设计中提高运算单元和存储单元利用率的问题进行了探讨,提出了相应的解决方法。在FFT电路设计中进行了功能验证和资源比较,证实了方法的可行性。  相似文献   

9.
杨君  吕镜清 《通信技术》2010,43(6):235-237,240
针对工程中FFT存在滤波误差的问题对FFT的滤波原理进行了推导,分析指出了FFT运算与复调制滤波器组滤波运算之间存在的差异,推导出了误差项,并对该误差项造成的相频响应非线性和通带波纹增大进行了仿真分析;对该频率响应误差造成的滤波性能下降进行了仿真验证;针对误差形成原理给出了消除误差的方法;最后分析指出了FFT滤波存在固有的相位超前现象,并根据其产生的原因给出了有效应对措施。  相似文献   

10.
针对高速64点FFT(快速傅里叶变换)处理芯片的实现,分析了FFT运算原理,并根据FFT算法原理介绍了改进的FFT运算流图。介绍了FFT处理器系统的各模块的功能划分,并根据FFT处理器结构及其特殊寻址方式,采用Verilog HDL对处理器系统的控制器、双数据缓存、地址生成器、蝶形运算单元以及I/O控制等模块进行了RTL(寄存器传输级)设计,并在ModelSim中对各模块以及整个系统进行功能仿真和验证,给出了部分关键模块的仿真波形图。设计中,注重从硬件实现以及电路的可综合性等角度进行RTL电路设计,以确保得到与期望性能相符的硬件电路。  相似文献   

11.
在理论上推导了采用快速傅里叶逆变换/傅里叶变换(IFFT/FFT)实现正交频分复用(OFDM)调制解调的可行性,分析了采用IFFT/FFT实现OFDM调制解调比传统方法更具优势;然后在数字信号处理器(DSP)硬件平台上对采用IFFT/FFT实现OFDM调制解调进行了验证。实验结果表明:采用IFFT/FFT不仅能正确实现OFDM信号的调制解调,而且还大大简化了OFDM系统结构,降低了系统实现难度,节约了成本。  相似文献   

12.
采用可编程门阵列(FPGA)实现FFT算法,增加了信号处理的实时性。针对高速宽带信号的谱分析,提出了一种采用FPGA计算1M点FFT的实现方法,并对运算结果进行了测试验证。该成果同样适用于窄带信号的细微特征分析。  相似文献   

13.
吕武  申萍  易景海 《现代电子技术》2006,29(3):74-76,79
论述了采用浮点数字信号处理(DSP)芯片TMS320VC33实现快速傅里叶变换(FFT)。分别采用了C语言和汇编语言实现FFT算法。实验结果验证了汇编语言比C语言更适合实现复杂算法,也验证了实现算法的正确性,表明了利用DSP控制器特有的反序间接寻址FFT的实现是很方便的,且实时性非常好。  相似文献   

14.
针对FFT算法在OFDM系统中的应用,对一般的FFT算法进行比较分析,设计了一种便于FPGA硬件实现的基4 FFT算法结构。该实现结构的设计以简化电路结构,节省硬件资源,便于扩展维护为目的,以第一级运算为基础实现多级FFT运算,采用了电路复用技术,以一种新的数据排序方式实现正序输入,正序输出,简化旋转因子的排列,并对一些相关的关键技术进行了设计改进。本设计在ISE10.1平台采用VHDL语言编程实现,并通过了仿真验证。  相似文献   

15.
当目标保持匀速运动时,回波信号经过FFT后的输出信噪比正比于信号的有效积累时间长度,采用FFT比相测距算法,经过FFT计算得到的相差与目标中间时刻的距离相对应。但当目标机动时,回波信号的多普勒频率为时变量,基于FFT处理的相参积累输出信噪比下降。该文首先对机动目标情况下基于FFT比相测距方法进行了理论分析,得到了距离与相差的关系式,给出了不同加速度下FFT输出信噪比损失曲线。最后仿真结果验证了理论分析的正确性。  相似文献   

16.
基于FPGA的FFT处理器设计   总被引:3,自引:0,他引:3  
针对快速傅里叶变换(FFT)算法的结构和特点,提出了一种基于现场可编程门阵列(FPGA)设计FFT运算的方案。该方案采用基2算法以及单元结构的设计思路,对FFT处理器合理模块化,用VHDL语言对各个模块编程,并在Quartusll软件环境下综合仿真,时序分析结果与Matlab计算结果相一致验证了设计的正确性。FFT与FPGA相结合提高了运算速度,扩大了FFT的应用领域。  相似文献   

17.
文中设计了一款64点基-4FFT处理器,用改进的CORDIC (MVR-CORDIC)处理单元代替常规FFT处理器中的复数乘法器,改进的CORDIC处理单元在保证SQNR性能下,仅用极少次数的移位加法运算即可完成一次复数乘法,缩减了完成一次基本蝶形运算的时间并减小了面积开销。该FFT处理器结构采用两块独立的RAM,并对中间数据作“乒-乓”式存储操作以节省数据存储时间,从而提高完成一次FFT运算的速度。所设计的FFT处理器通过FPGA进行验证,结果表明平均完成一次64点FFT运算仅需要不到1μs。  相似文献   

18.
为了提高远距离测速精度, 采用一种基于小波分解与重构(WDR)联合快速傅里叶变换(FFT)的信号处理方法(WDR & FFT), 进行了理论分析与实验验证, 取得了不同速度下的硬目标脉冲相干测速数据。结果表明, WDR & FFT方法可准确地分辨速率变化为0.02 m/s的目标。该研究为远距离低速目标的高分辨率测速提供了参考。  相似文献   

19.
分析了快速傅里叶变换(FFT)算法的4种典型结构,提出了一种采用按时间抽取的基2单蝶形运算单元递归结构。对一种64点FFT进行仿真验证,在Cyclone的EP1C6T144C7上实现共占用967个逻辑单元,最高频率达56.47MHz。通过降低蝶形运算单元中乘法数目和采用乒乓RAM结构,节约了硬件资源,加快了FFT运算速度。  相似文献   

20.
在深入研究Nios自定制指令的软硬件接口的基础上,利用Matlab/DSP Builder建立快速傅里叶变换FFT核心运算指令基本模型,然后用Altera公司提供的Singacompiler工具对其进行编译,产生Quartus Ⅱ能够识别的VHDL源程序,并将此程序在Nios中自定制成相关的FFT运算指令.利用自定制的FFT运算指令,在Nios中利用C语言编写基于Nios的FFT算法程序,实现了FFT运算的软硬件协同设计.经测试表明,将FFT算法加入到Nios嵌入式处理器指令集中,可以帮助系统完成复杂的数据处理任务,增强Nios系统的实时处理能力.该设计方法打破了软硬件间的屏降,大大加快了系统的功能验证.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号