首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
Y_2O_3稳定的 ZrO_2薄膜材料的制备和电导性质的研究   总被引:1,自引:0,他引:1  
以金属有机螯合物为源物质,采用低压等离子体化学气相淀积工艺(PCVD),成功地生长了 Y_2O_3稳定的 ZrO_3氧离子导体薄膜,对薄膜的化学组成、结构以及电导性能进行了研究。通过实验测量了等离子体条件下不同淀积参数对淀积速率的影响,探讨了各种参数对淀积过程的控制作用,进而为改进化学气相淀积工艺提供依据。  相似文献   

2.
介绍了钛酸锶(STO)薄膜的五种制备方法(等离子体增强化学气相淀积、射频磁控溅射、金属有机化学气相沉积、脉冲激光沉积、溶胶-凝胶)及STO薄膜在电容-电压敏双功能材料、CMOS器件、氧敏材料、PZT铁电薄膜电极材料等方面的应用的研究进展.提出了需进一步开展的研究课题如:晶粒晶界控制、界面控制、漏电导机制、疲劳机制、非线...  相似文献   

3.
本文介绍用俄歇电子能谱对等离子增强化学气相淀积非晶碳化硅薄膜进行组分的定量分析、深度剖析和元素的化学状态分析;不同制备条件下非晶碳化硅薄膜的一些淀积规律和工艺中的问题,并利用SiLVV和C KLL俄歇谱探讨非晶碳化硅的特征和硅、碳的化学状态。  相似文献   

4.
本文介绍采用真空蒸镀与低温氧化相结合的工艺,在生长有氧化层的硅片上利用金属Sn制备SnO_2敏感膜。它较化学气相淀积法易于控制,并可充分利用硅平面工艺生产线现有设备,所制备的SnO_2敏感膜经扫描电镜形貌分析,其粒径小于1μm,且对乙醇,甲烷都有一定的敏感性。到目前为止,制备SnO_2敏感膜的方法有:高频溅射,直流溅射,化学气相淀积,高频等离子激活化学气相淀积,真空蒸镀等。这些方法主要是用锡的氧化物和锡盐将SnO_2膜制作在绝缘衬底(Al_2O_3)上。众所周知,采用溅射方法需要昂贵的设备,而化学气相淀积法(CVD)所需工艺参数较多,难于控制,且对环境要求较严,而我们采用的真空蒸镀同低温氧化相结合的方法,工艺参数较少,对环境要求不象CVD那样严格,更主要的是可以利用硅平面工艺生产线现有设备制成厚度均匀,粒径合适,对某些气体和湿度都灵敏的敏感膜。  相似文献   

5.
本文以四氯化钛(TiCl4)和硅烷(SiH4)为源物质,采用等离子增强化学气相淀积(PECVD)工艺结合常规热退火制备了优良的TiSi2薄膜。研究了淀积和退火条件对薄膜性质的影响。用四探针检测了退火前后薄膜的薄层电阻,用俄歇电子能谱(AES)和X射线衍射分析了薄膜的化学组成和晶体结构。  相似文献   

6.
氧化硅涂塑包装膜与等离子体化学气相淀积(PECVD)技术   总被引:1,自引:1,他引:0  
阐述了SiOx涂塑包装膜的最新发展及等离子体化学气相淀积技术在制造SiOx涂塑包装材料中的应用。  相似文献   

7.
用等离子体激活的化学气相淀积(PCVD)方法在比表面大的多孔玻璃上淀积纯 SnO_2,得到稳定性好、工作温度低及选择性较好的气敏薄膜元件。研究了该元件对 H_2、C_2H_5OH、LPG(液化石油气)等气体的气敏效应,对元件的检测机理作了一些探讨,并与用烧结法制备的元件的气敏性能进行了比较。  相似文献   

8.
一、引言 金属有机化学气相淀积法(简称MOCVD法)是1968年由H.M.Manasevit等首先提出的。该法以挥发性金属有机物和气态的非金属氢化物作为源材料,采用与硅外延淀积相类似的生长装置,进行化合物半导体的外延淀积。  相似文献   

9.
化学气相淀积制备Si3N4超细粉末   总被引:3,自引:0,他引:3  
本文研究了SiCl4-NH3-N2-H2系统平衡热力学,确定了Si3N4合成的最佳热力学条件。采用电阻炉化学气相淀积法制备了Si3N4超细粉末,并考察了工艺条件对颗粒形貌的影响。  相似文献   

10.
本文报导了采用等离子体增强化学气相淀积(PECVD)方法制备 SnO_2/Fe_2O_3多层薄膜气体敏感材料及敏感元件的性质。所研制的多层薄膜在保持对乙醇有较高的灵敏度及较好的响应恢复时间的同时,其稳定件较单层膜有明显地改善。乙醇和汽油的分离倍数达10左右,对其它气体分离倍数更高。研究表明所制备的 SnO_2/Fe_2O_3薄膜材料可用于开发新型气敏元件。  相似文献   

11.
化学气相沉积(CVD)是近年来发展起来的制备各种无机复合材料的一种新技术.简要介绍了CVD技术的原理和特点,分析了目前研究的各种锂离子电池正负极材料存在的问题,重点介绍了CVD技术在解决这些问题上的应用进展.  相似文献   

12.
The controlled nucleation thermochemical deposition (CNTD) process differs from the conventional chemical vapor deposition (CVD) process in that CNTD results in a fine-grained non-columnar deposit with superior mechanical properties. Materials made by this technique include CM 500 (a WC alloy) and CM 4000 (CNTD SiC). These two materials, together with CVD Si3N4, were evaluated for their erosion and sliding wear characteristics and the results were compared with those obtained for conventional refractory and ceramic materials. It is shown that the application of a dense CVD or CNTD coating significantly improves the erosion resistance of substrate materials.  相似文献   

13.
Chemical vapor deposition (CVD) is an established process used to deposit thin films of advanced materials, based on chemical reactions. Three recent developments in CVD materials processing are described. Low pressure CVD is used extensively in the semiconductor, microelectronics, and optoelectronics industries for depositing stabilized oxides to protect graphite composites, and hard coatings of titanium compounds for cutting tools. Metallorganic CVD is the primary process for depositing the III-V group elements for advanced epitaxial semiconductor designs. Plasma-enhanced CVD is based on the ionization of chemical species and is growing rapidly in importance in areas such as the deposition of diamond films in a microwave plasma.  相似文献   

14.
氮化硼纳米管(BNNTs)具有优良的耐高温、抗氧化、防辐射、绝缘和导热性能,因此,在航空航天、辐射屏蔽、热界面材料以及深紫外发射等领域具有潜在的应用前景。然而,高品质BNNTs的可控制备和批量生产仍然是学术和工业界的重大挑战。在BNNTs的众多制备方法中,化学气相沉积法(CVD)是最有潜力实现其可控制备的方法之一。但是,科学家们对于CVD法制备BNNTs的催化机理和影响因素尚未形成共识。鉴于此,文章从反应装置、氮源、硼源和催化剂4个方面对CVD法制备BNNTs进行了综述,并系统总结了相应的规律。在此基础上,分析了目前BNNTs可控制备中存在的问题,并对CVD法在BNNTs可控制备中的作用进行了展望,以期对今后BNNTs的制备起到借鉴作用。  相似文献   

15.
气相沉积法分子筛封装SnO2纳米半导体材料的研究   总被引:4,自引:0,他引:4  
张义华  郭新闻 《功能材料》1999,30(6):651-652
以Y型分子筛为主体,采用气相沉积法制备了分子筛封装SnO2纳米半导体材料,并通过X射线衍射,IR吸收光谱,紫外漫反射光谱TEM等手段对不同条件下制备的样品进行了表征。对于纳型的Y型分子筛来说,几乎无法实现有效沉积,而以交换处理后的Y型分子筛为主体,则可以在合适的条件下,能够获得高分散的SnO2物中,并成功地了对主体分子筛的破坏程度。  相似文献   

16.
Monolayer transition metal dichalcogenides are 2D materials with many potential applications. Chemical vapor deposition (CVD) is a promising method to synthesize these materials. However, CVD‐grown materials generally have poorer quality than mechanically exfoliated ones and contain more defects due to the difficulties in controlling precursors' distribution and concentration during growth where solid precursors are used. Here, thiol is proposed to be used as a liquid precursor for CVD growth of high quality and uniform 2D MoS2. Atomic‐resolved structure characterizations indicate that the concentration of sulfur vacancies in the MoS2 grown from thiol is the lowest among all reported CVD samples. Low temperature spectroscopic characterization further reveals the ultrahigh optical quality of the grown MoS2. Density functional theory simulations indicate that thiol molecules could interact with sulfur vacancies in MoS2 and repair these defects during the growth of MoS2, resulting in high‐quality MoS2. This work provides a facile and controllable method for the growth of high‐quality 2D materials with ultralow sulfur vacancies and high optical quality, which will benefit their optoelectronic applications.  相似文献   

17.
Investigation into polishing process of CVD diamond films   总被引:1,自引:0,他引:1  
A new technique used for polishing chemical vapor deposition (CVD) diamond films has been investigated, by which rough polishing of the CVD diamond films can be achieved efficiently. A CVD diamond film is coated with a thin layer of electrically conductive material in advance, and then electro-discharge machining (EDM) is used to machine the coated surface. As a result, peaks on the surface of the diamond film are removed rapidly. During machining, graphitization of diamond enables the EDM process to continue. The single pulse discharge shows that the material of the coated layer evidently affects removal behavior of the CVD diamond films. Compared with the machining of ordinary metal materials, the process of EDM CVD diamond films possesses a quite different characteristic. The removal mechanism of the CVD diamond films is discussed.  相似文献   

18.
类金刚石薄膜的摩擦学特性及磨损机制研究进展   总被引:9,自引:0,他引:9  
类金刚石薄膜已显示了重要的摩擦学应用价值,其中化学气相沉积的类金刚石薄膜(DLC)具有膜层致密、厚度均匀、摩擦学性能优良等特点成为广泛采用的一种沉积方法.本文介绍了气源成分、基体材料、摩擦环境、摩擦对偶、载荷及速度对化学气相沉积制备类金刚石薄膜的摩擦学特性的影响,概述了其摩擦磨损机理,同时探讨了进一步研究工作的方向.  相似文献   

19.
Chemical vapour deposition of coatings   总被引:6,自引:0,他引:6  
Chemical Vapour Deposition (CVD) of films and coatings involve the chemical reactions of gaseous reactants on or near the vicinity of a heated substrate surface. This atomistic deposition method can provide highly pure materials with structural control at atomic or nanometer scale level. Moreover, it can produce single layer, multilayer, composite, nanostructured, and functionally graded coating materials with well controlled dimension and unique structure at low processing temperatures. Furthermore, the unique feature of CVD over other deposition techniques such as the non-line-of-sight-deposition capability has allowed the coating of complex shape engineering components and the fabrication of nano-devices, carbon-carbon (C-C) composites, ceramic matrix composite (CMCs), free standing shape components. The versatility of CVD had led to rapid growth and it has become one of the main processing methods for the deposition of thin films and coatings for a wide range of applications, including semiconductors (e.g. Si, Ge, Si1-xGex, III-V, II-VI) for microelectronics, optoelectronics, energy conversion devices; dielectrics (e.g. SiO2, AlN, Si3N4) for microelectronics; refractory ceramic materials (e.g. SiC, TiN, TiB2, Al2O3, BN, MoSi2, ZrO2) used for hard coatings, protection against corrosion, oxidation or as diffusion barriers; metallic films (e.g. W, Mo, Al, Au, Cu, Pt) for microelectronics and for protective coatings; fibre production (e.g. B and SiC monofilament fibres) and fibre coating. This contribution aims to provide a brief overview of CVD of films and coatings. The fundamental aspects of CVD including process principle, deposition mechanism, reaction chemistry, thermodynamics, kinetics and transport phenomena will be presented. In addition, the practical aspects of CVD such as the CVD system and apparatus used, CVD process parameters, process control techniques, range of films synthesized, characterisation and co-relationships of structures and properties will be presented. The advantages and limitations of CVD will be discussed, and its applications will be briefly reviewed. The article will also review the development of CVD technologies based on different heating methods, and the type of precursor used which has led to different variants of CVD methods including thermally activated CVD, plasma enhanced CVD, photo-assisted CVD, atomic layer epitaxy process, metalorganic assisted CVD. There are also variants such as fluidised-bed CVD developed for coating powders; electrochemical vapour deposition for depositing dense films onto porous substrates; chemical vapour infiltration for the fabrication of C-C composites and CMCs through the deposition and densification of ceramic layers onto porous fibre preforms. The emerging cost-effective CVD-based techniques such as electrostatic-aerosol assisted CVD and flame assisted CVD will be highlighted. The scientific and technological significance of these different variants of CVD will be discussed and compared with other vapour processing techniques such as Physical Vapour Deposition.  相似文献   

20.
Chemical vapour deposition processes (CVD) can produce metastable fine-grained materials as well as epitaxial coatings and can have a very large throwing power depending on the process parameters. Therefore, CVD is an prospective method to deposit high-temperature superconducting materials withT c⩾10 K. One of the first superconductors which were produced was Nb3Sn on tapes and single wires. This superconducting material is, however, today produced by metallurgical methods. Since the detection of Nb3Ge, CVD has become for these coatings the main method of production for the following reasons: high deposition rates, possibility to dope the material by addition of further doping gases to the CVD-process, continuous process. These coatings were deposited on tapes. For the first time the large throwing power of the CVD process was utilized for the deposition of B1 -NbC x N y , on carbon fibre bundles. This opens the possibility to produce multifilamentary structures used for magnetic applications. The structure of the coating can be varied by changing the gas properties, by addition of further gases, by an ultrasonic field, by ignition of a gas discharge and by multi-layering. CVD could also be a prospective method for producing the new class of superconductors withT c⩾30 K.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号