首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
The electrical properties of C-implanted <100> GaAs have been studied following rapid thermal annealing at temperatures in the range from 750 to 950°C. This includes dopant profiling using differential Hall measurements. The maximum p-type activation efficiency was found to be a function of C-dose and annealing temperature, with the optimum annealing temperature varying from 900°C for C doses of 5 × 1013 cm−2 to 800°C for doses ≥5 × 1014cm−2. For low dose implants, the net p-type activation efficiency was as high as 75%; while for the highest dose implants, it dropped to as low as 0.5%. Moreover, for these high-dose samples, 5 × 1015 cm−2, the activation efficiency was found to decrease with increasing annealing temperature, for temperatures above ∼800°C, and the net hole concentration fell below that of samples implanted to lower doses. This issue is discussed in terms of the amphoteric doping behavior of C in GaAs. Hole mobilities showed little dependence on annealing temperature but decreased with increasing implant dose, ranging from ∼100 cm2/V·s for low dose implants, to ∼65 cm2/V·s for high dose samples. These mobility values are the same or higher than those for Be-, Zn-, or Cd-implanted GaAs.  相似文献   

2.
We report on the electrical properties of ZnO films and devices grown on different substrates by radio-frequency magnetron sputtering. The films grown on c-plane sapphire were annealed in the range 800–1,000°C. The electron concentration increased with annealing temperature reaching 1.4×1019 cm?3 for 1,000°C. Mobility also increased, however, reaching its maximum value 64.4 cm2/V · sec for 950°C anneal. High-performance Schottky diodes were fabricated on ZnO films grown on n-type 6H-SiC by depositing Au/Ni(300/300 Å). After annealing at 900°C, the leakage current (at ?5 V reverse bias) decreased from 2.2 × 10?7 A to ~5.0 × 10?8 A after annealing at 900°C, the forward current increased by a factor of 2, and the ideality factor decreased from 1.5 to 1.03. The ZnO films were also grown on p-type 6H-SiC, and n-ZnO/p-SiC heterostructure diodes were fabricated. The p-n diode performance increased dramatically after annealing at 950°C. The leakage current decreased from 2.0×10?4 A to 3.0×10?7 A at ?10 V reverse bias, and the forward current increased slightly from 2.7 mA to 3.9 mA at 7 V forward bias; the ideality factor of the annealed diode was estimated as 2.2, while that for the as-grown sample was considerably higher.  相似文献   

3.
Atomic profiles of ion-implanted Be and S in GaAs have been measured as a function of implant fluence and annealing temperature. Concentration versus depth profiles were ob-tained by means of secondary ion mass spectrometry (SIMS) techniques. Pyrolytically deposited and sputter-coated Si02 and Si3N4 films were used as encapsulants for the 500 to 900° annealing study. Semi-insulating GaAs was implanted with 200 keV34S+ to fluences of 1 × 1014 and 52× 1014/cm2, and 100 keV9Be+ in the 1 × 1013 to 1 × 1015/cm2 fluence range. The S profiles did not change significantly after annealing at 800°C, although there was some skewing after annealing above 600°C. In contrast, the Be profiles showed significant changes and a decrease in the peak concentration for the ≥ 5 × 10T4/cm2 implants after a 700°C anneal. After a 800°C anneal the Be profile was essentially flat with a monotonic decrease from the surface into the implanted re-gion and a 900°C anneal caused a further decrease in the Be concentration. Profiles of Be implants of ≤ 1 × 1014/cm2 did not change significantly after annealing indicating that the higher fluence cases were related to solubility effects. This work supported by the Naval Electronic Systems Command and the Office of Naval Research.  相似文献   

4.
p-Type Bi0.45Sb1.55Te3 thermoelectric (TE) thin films have been prepared at room temperature by a magnetron cosputtering process. The effect of postannealing on the microstructure and TE properties of Bi0.45Sb1.55Te3 films has been investigated in the temperature range from room temperature to 350°C. x-Ray diffraction analysis shows that the annealed films have polycrystalline rhombohedral crystal structure, and the average grain size increases from 36?nm to 64?nm with increasing annealing temperature from room temperature to 350°C. Electron probe microanalysis shows that annealing above 250°C can cause Te reevaporation, which induces porous thin films and dramatically affects electrical transport properties of the thin films. TE properties of the films have been investigated at room temperature. The hole concentration shows a trend from descent to ascent and has a minimum value at the annealing temperature of 200°C, while the Seebeck coefficient shows an opposite trend and a maximum value of 245?μV?K?1. The electrical resistivity monotonically decreases from 19.8?mΩ?cm to 1.4?mΩ?cm with increasing annealing temperature. Correspondingly, a maximum value of power factor, 27.4?μW?K?2?cm?1, was obtained at the annealing temperature of 250°C.  相似文献   

5.
The dependence of carrier concentration and mobility profiles on the dose of 400 keV Se ions implanted into Cr-doped semi-insulating GaAs, and on the annealing temperature has been studied for doses ranging from 3 × 1012/cm2 to 2 × 1015/cm2 and for annealing temperatures between 800 and 1000°C. Sputtered aluminum oxy-nitride and silicon nitride films were used as encapsulants for protection of the implanted surface during annealing treatments. The carrier profiles exhibited deep tails for implantations along both random and {110} planar directions. It was found that annealing temperatures of 900°C or above were necessary to obtain high carrier density and mobility values for implantation doses above 1 × 1014/cm2. Samples encapsulated with aluminum oxy-nitride films exhibited 3 to 4 times higher carrier concentration values and also slightly higher mobility values than those encapsulated with silicon nitride films. The maximum carrier concentration obtained was about 4 × 1018/cm3 with aluminum oxy-nitride films as the encapsulant.  相似文献   

6.
The temperature dependence of oxygen incorporation in GaP has been studied over the range 900–1000°C using liquid phase epitaxy. The growth solutions were oxygen saturated to provide the maximum oxygen concentration in the solid. The concentration of substitutional (donor) oxygen was determined by electrical compensation measurements in p-type material. It has been found that whereas the oxygen concentration in the liquid increases with increasing growth temperature, the distribu-tion coefficient decreases. This behavior results in a maximum oxygen donor concentration of ∼3×1017 atoms/cm3 which is realized in the temperature range 970–1060°C. For T > 1100°C, the oxygen donor concen-tration falls below 1×1017 atoms/cm3, in agreement with prior solution growth results. These results thus suggest an optimum temperature range for oxygen doping and fix an upper limit to the number of Zn-O radiative centers (hence light output) for GaP red emitting diodes .  相似文献   

7.
Room-temperature photoluminescence (PL) has been studied in AlGaN/GaN superlattices and GaN epitaxial layers implanted with 1-MeV erbium at a dose of 3 × 1015 cm?2 and annealed in argon. The intensity of PL from Er3+ ions in the superlattices exceeds that for the epitaxial layers at annealing temperatures of 700–1000°C. The strongest difference (by a factor of ~2.8) in PL intensity between the epitaxial layers and the superlattices and the highest PL intensity for the superlattices are observed upon annealing at 900°C. On raising the annealing temperature to 1050°C, the intensity of the erbium emission from the superlattices decreases substantially. This circumstance may be due to their thermal destruction.  相似文献   

8.
Solid boron and antimony doping of silicon and SiGe grown by molecular beam epitaxy using disilane and germane as sources has been studied. Elemental boron is a well behaved p-type dopant. At effusion cell temperatures of 1700–1750°C, hole carrier concentrations in the 1020 cm−3 range have been obtained. Elemental antimony doping shows surface segregation problems. For uniformly doped layers, the as-grown materials do not show n-type conductivity. Electron concentrations in the 1017 cm−3 range were obtained by post-growth conventional and rapid thermal annealing at 900 and 1000°C, respectively. The electron Hall mobility improves with optimum annealing time. Delta doping of buried layers exhibits slightly better incorporation behavior including significant surface riding effects.  相似文献   

9.
Selenium-hyperdoped silicon was prepared by ion implantation at 100 eV to a dose of 6×1015 Se/cm2, followed by furnace annealing at 500–900 °C for 30 min. A phase transition from amorphous to crystalline was observed for the sample annealed at 600 °C. Carrier density in the Se doping layer gradually increases with the annealing temperature and a high carrier/donor ratio of 7.5% was obtained at 900 °C. The effects of annealing temperature on the rectifying behavior and external quantum efficiency of n+p junctions formed on Se-hyperdoped silicon were also investigated. We found that 700 °C was the optimal annealing temperature for improving the crystallinity, below-bandgap absorption, junction rectification and external quantum efficiency of Se-doped samples.  相似文献   

10.
A bilayer Nd/Al metallization structure has been deposited onto low pressure organometallic vapor phase epitaxy grown n-type GaN ( 1 × 1018 cm−3) by electron-beam evaporation. Ohmic metal contacts were patterned photolithographically for standard transmission line measurement, and then thermally annealed at temperatures ranging from 200 to 350°C and from 500 to 650°C using conventional thermal annealing (CTA) and rapid thermal annealing (RTA), respectively. The lowest values for the specify contact resistivity of 9.8 × 10−6 Ω−cm2 and 8 × 10−6 Ω−cm2 were obtained using Nd/Al metallization with CTA of 250°C for 5 min and RTA of 600°C for 30 s. Examination of the surface morphology using atomic force microscopy as a function of annealing temperature revealed that the surface roughness was strongly influenced by conventional thermal annealing, it was smooth in the temperature range from 550 to 650°C for rapid thermal annealing. Auger electron spectroscopy depth profiling was employed to investigate the metallurgy and interdiffusion of contact formation.  相似文献   

11.
Semi-insulating 4H-SiC ⟨0001⟩ wafers have been phosphorus ion implanted at 500°C to obtain phosphorus box depth profiles with dopant concentration from 5 × 1019 cm−3 to 8 × 1020 cm−3. These samples have been annealed by microwave and conventional inductively heated systems in the temperature range 1700°C to 2050°C. Resistivity, Hall electron density, and Hall mobility of the phosphorus-implanted and annealed 4H-SiC layers have been measured in the temperature range from room temperature to 450°C. The high-resolution x-ray diffraction and rocking curve of both virgin and processed 4H-SiC samples have been analyzed to obtain the sample crystal quality up to about 3 μm depth from the wafer surface. For both increasing implanted phosphorus concentration and increasing post-implantation annealing temperature the implanted material resistivity decreases to an asymptotic value of about 1.5 × 10−3 Ω cm. Increasing the implanted phosphorus concentration and post-implantation annealing temperature beyond 4 × 1020 cm−3 and 2000°C, respectively, does not bring any apparent benefit with respect to the minimum obtainable resistivity. Sheet resistance and sheet electron density increase with increasing measurement temperature. Electron density saturates at 1.5 × 1020 cm−3 for implanted phosphorus plateau values ≥4 × 1020 cm−3, irrespective of the post-implantation annealing method. Implantation produces an increase of the lattice parameter in the bulk 4H-SiC underneath the phosphorus-implanted layer. Microwave and conventional annealing produce a further increase of the lattice parameter in such a depth region and an equivalent recovered lattice in the phosphorus-implanted layers.  相似文献   

12.
The use of TaN, TiN, and ZrN diffusion barriers for Ti/Al-based contacts on n-GaN (n ∼ 3 × 1017 cm−3) is reported. The annealing temperature (600–1,000°C) dependence of the Ohmic contact characteristics using a Ti/Al/X/Ti/Au metallization scheme, where X is TaN, TiN, or ZrN, deposited by sputtering was investigated by contact resistance measurements and Auger electron spectroscopy (AES). The as-deposited contacts were rectifying and transitioned to Ohmic behavior for annealing at ≥600°C. A minimum specific contact resistivity of ∼6 × 10−5 Ω-cm−2 was obtained after annealing over a broad range of temperatures (600–900°C for 60 s), comparable to that achieved using a conventional Ti/Al/Pt/Au scheme on the same samples. The contact morphology became considerably rougher at the high end of the annealing range. The long-term reliability of the contacts at 350°C was examined; each contact structure showed an increase in contact resistance by a factor of three to four over 24 days at 350°C in air. AES profiling showed that the aging had little effect on the contact structure of the nitride stacks.  相似文献   

13.
The ohmic contact formation mechanism and the role of Pt layer of Au(500Å) Pt(500Å)/Pd(100Å) ohmic contact to p-ZnTe were investigated. The specific contact resistance of Au/Pt/Pd contact depended strongly on the annealing temperature. As the annealing temperature increased, the specific contact resistance decreased and reached a minimum value of 6×10?6 Θcm2 at 200°C. From the Hall measurement, the hole concentration increased with the annealing temperature and reached a maximum value of 2.3×1019 cm?3 at 300°C. The Schottky barrier height decreased with the increase of annealing temperature and reached a minimum value of 0.34 eV at 200°C and it was due to the interfacial reaction of Pd and ZnTe. Therefore, the decrease of contact resistance was due to the increase of doping concentration as well as the decrease of Schottky barrier height by the interfacial reaction of Pd ZnTe. The specific contact resistances of Au Pd, Au/Pt/Pd and Au/Mo/Pd as a function of annealing time was investigated to clarify the role of Pt layer.  相似文献   

14.
The effects of high temperature annealing in N2 and H2 ambients upon the following properties of MNOS devices have been investigated: Si-nitride stress, etch rate, index of refraction, fixed interface charge and fast surface state density, memory window and charge retention at elevated temperatures. The CVD Si-nitride and Si-oxynitride films were deposited at temperatures as low as 610°C with a NH3/SiH4 ratio of 1000:1, the heat treatments were performed in the temperature range from 640°C to 1130°C. A similar N2-annealing behavior was found for film stress and flatband voltage. The film stress increased with increasing annealing time and temperature while the interface charge density changed from high positive values (QN/q = 4 × 1012cm2) after nitride deposition at 610°C to high negative values (QN/q = -4 × 1012cm2) after annealing at 930°C, The fast interface state density increased while the charge retention time was drastically reduced. The changes of the properties by N2 annealing are mainly attributed to decomposition of SiH and NH bonds. Minor effects were obtained by annealing in H2 and the drastic changes caused by N2 annealing could be reversed to a great extent by subsequent H2 annealing. Finally the different effects of deposition and annealing temperature on the propertiesare discussed .  相似文献   

15.
Donor (S, Se, and Te) and acceptor (Mg, Be, and C) dopants have been implanted into GaN at doses of 3–5×1014 cm−2 and annealed at tem peratures up to 1450°C. No redistribution of any of the elements is detectable by secondary ion mass spectrometry, except for Be, which displays behavior consistent with damageassisted diffusion at 900°C. At higher temperatures, there is no further movement of the Be, for peak annealing temperature durations of 10 s. Effective diffusivities are ≤2×10−13 cm2·s−1 at 1450°C for each of the dopants in GaN.  相似文献   

16.
Cobalt disilicide is grown epitaxially on (100) Si from a 15 nm Co/2 nm Ti bilayer by rapid thermal annealing (RTA) at 900°C. Polycrystalline CoSi2 is grown on (100) Si using a 15 nm Co layer and the same annealing condition. Silicide/p+-Si/n-Si diodes are made using the silicide as dopant source:11B+ ions are implanted at 3.5–7.5 kV and activated by RTA at 600–900°C. Shallow junctions with total junction depth (silicide plus p+ region) measured by high-resolution secondaryion mass spectroscopy of 100 nm are fabricated. Areal leakage current densities of 13 nA/cm2 and 2 nA/cm2 at a reverse bias of -5V are obtained for the epitaxial silicide and polycrystalline silicide junctions, respectively, after 700°C post-implant annealing.  相似文献   

17.
Indium oxide (In2O3) films were prepared on Al2O3 (0001) substrates at 700 °C by metal-organic chemical vapor deposition (MOCVD). Then the samples were annealed at 800 °C, 900 °C and 1 000 °C, respectively. The X-ray diffraction (XRD) analysis reveals that the samples were polycrystalline films before and after annealing treatment. Triangle or quadrangle grains can be observed, and the corner angle of the grains becomes smooth after annealing. The highest Hall mobility is obtained for the sample annealed at 900 °C with the value about 24.74 cm2·V-1·s-1. The average transmittance for the films in the visible range is over 90%. The optical band gaps of the samples are about 3.73 eV, 3.71 eV, 3.70 eV and 3.69 eV corresponding to the In2O3 films deposited at 700 °C and annealed at 800 °C, 900 °C and 1 000 °C, respectively.  相似文献   

18.
The radiation damage in 200 keV N+ -ion implanted (111) single crystalline ZnSe has been studied as a function of dosage and annealing treatment using cross-sectional transmission electron microscope techniques. For dosages less than 1014 /cm2 no observable damage is present at room temperature or after annealing at 700°C for one hour. For a dosage 1015/cm2 the radiation damage is observable at room temperature and on annealing the initial high density of small black dot defects form Frank loops which on growing still further form prismatic vacancy type loops. For dosages of 1017/cm2 a very high concentration of defects form at room temperature although the material remains single crystalline. On annealing at 700°C dodecahedron voids form in the damage region and cause swelling of ? 1%. The stresses induced by this swelling is accommodated by dislocations of the a/2 <110> type which glide in from the surface on the {111} slip planes. The distribution of the radiation damage for all the dosages and on annealing up to 700°C is Gaussian with a peak coinciding with the projected range of N+ -ions in ZnSe as predicted by the Lindhard, Scharff and Schiot (LSS) theory. Auger depth profiling on 1017 N -ions/cm2 implanted ZnSe revealed no nitrogen in the damage zone of either the as implanted (R.T.) ZnSe or those annealed at 700°C.  相似文献   

19.
The performance of a novel Ge/Cu/Ti metallization scheme on n-type GaN has been investigated for obtaining thermally and electrically stable low-resistance ohmic contacts. Isochronal (2 min.) anneals in the 600–740°C temperature range and isothermal (690°C) anneals for 2–10 min. duration were performed in inert atmosphere. For the 690°C isothermal schedule, ohmic behavior was observed after annealing for 3 min. or longer with a lowest contact resistivity of 9.1 × 10−5 Ωcm2 after the 10 min. anneal for a net donor doping concentration of 9.2 × 1017 cm−Ω3. Mean roughness (Ra) for anneals at 690°C was almost constant at around 5 nm, up to an annealing duration of 10 min., which indicates a good thermal stability of the contact scheme.  相似文献   

20.
Protons with energy E=100 keV were implanted with doses ranging from 2×1017 to 4×1017 cm?2 into 6H-and 4H-SiC n-type samples at room temperature. The samples were subjected to various types of postimplantation heat treatment in the temperature range 550–1500°C. The parameters of the samples were studied by measuring the capacitance-voltage and current-voltage characteristics and by analyzing the photoluminescence spectra. Blistering on the surface of the sample is observed after annealing the samples at a temperature of 800°C only after implantation of protons with a dose of ≤3×1017 cm?2. A decrease in the resistivity of the compensated layer sets in after annealing at a temperature of ~1200°C and is completed after annealing at a temperature of ~1500°C. A drastic decrease in the photoluminescence intensity is observed after implantation for all types of samples. Recovery of the photoluminescence intensity sets in after annealing at temperatures ≥800°C and is complete after annealing at a temperature of 1500°C.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号