首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
As the number of metal levels and the wafer size increase, the global planarity and effective removal of metal overlay across the wafer becomes more crucial. Chemical-mechanical polishing (CMP) has been recognized essential to achieve this goal. Accurate in situ endpoint detection and monitoring method significantly improves the yield and throughput. Previous methods have been proposed, which either require the rearrangement of the machine set-up, or can only be implanted on certain types of machines. In this study, a model for pad temperature capable of predicting the endpoint of CMP in situ is established based on the total consumed kinematic energy between wafer and pad. Limited assumptions of thermal and kinematic conditions are made. The model of temperature rise uses the integral of the relative polishing speed and is verified by on-line measurement. Since the coefficient of friction between the pad and dielectric layer is distinguishably lower than that between the pad and the metal layer, the pad temperature increases milder than polishing the metal layer. In use of the proposed regression method applied to the measured temperature rise, the endpoint of the process can be detected.  相似文献   

2.
Endpoint detection method for CMP of copper   总被引:2,自引:0,他引:2  
A novel method to detect the endpoint during Cu-CMP has been developed. It is based on the determination of the Cu concentration within the slurry on the pad that has just polished the wafer. The measurement of the ion concentration is performed using a capillary and an ion-selective electrode. The endpoint of the CMP process is detected by the decrease of Cu ion concentration, which is displayed by an decreased potential at the electrode. An experimental set-up has been established which can be applied to a commercial polishing tool. The method has been tested under various process conditions. The new endpoint detection system revealed to work independently of the polishing tool and the wafer size.  相似文献   

3.
Friction characteristics between the wafer and the polishing pad play an important role in the chemical–mechanical planarization (CMP) process. In this paper, a wafer/pad friction modeling and monitoring scheme for the linear CMP process is presented. Kinematic analysis of the linear CMP system is investigated and a distributed LuGre dynamic friction model is utilized to capture the friction forces generated by the wafer/pad interactions. The frictional torques of both the polisher spindle and the roller systems are used to monitor in situ the changes of the friction coefficient during a CMP process. Effects of pad conditioning and patterned wafer topography on the wafer/pad friction are also analyzed and discussed. The proposed friction modeling and monitoring scheme can be further used for real-time CMP monitoring and process fault diagnosis.  相似文献   

4.
化学机械抛光(CMP)技术是半导体工艺中不可缺少的重要工艺。针对硅晶圆CMP平坦性问题,系统地考察了压力、转速、抛光垫、浆料、温度等因素对硅晶圆平坦化速率的影响,从中找到它们之间的优化参数,减少CMP工艺中的表面划伤、抛光雾、金属离子沾污,清除残余颗粒,保证硅晶圆的平坦化质量。  相似文献   

5.
There are two important things when doing the CMP, one is the high removal rate, and the other is low nonuniformity. The factors, which may effect the result of polishing process, are pressure applied to wafer and the rotation speed of the wafer. In this study, the best polishing pressure distribution was studied. The effect of different boundary conditions between wafer and wafer carrier on the polishing pressure distribution was analyzed. The clearance between pad and wafer also analyzed  相似文献   

6.
This paper presents the experimental validation and some application examples of the proposed wafer/pad friction models for linear chemical–mechanical planarization (CMP) processes in the companion paper. An experimental setup of a linear CMP polisher is first presented and some polishing processes are then designed for validation of the wafer/pad friction modeling and analysis. The friction torques of both the polisher spindle and roller systems are used to monitor variations of the friction coefficient in situ . Verification of the friction model under various process parameters is presented. Effects of pad conditioning and the wafer film topography on wafer/pad friction are experimentally demonstrated. Finally, several application examples are presented showing the use of the roller motor current measurement for real-time process monitoring and control.  相似文献   

7.
ULSI制造中硅片化学机械抛光的运动机理   总被引:6,自引:0,他引:6  
从运动学角度出发,根据硅片与抛光垫的运动关系,通过分析磨粒在硅片表面的运动轨迹,揭示了抛光垫和硅片的转速和转向以及抛光头摆动参数对硅片表面材料去除率和非均匀性的影响.分析结果表明:硅片与抛光垫转速相等转向相同时可获得最佳的材料去除非均匀性及材料去除率.研究结果为设计CMP机床,选择CMP的运动参数和进一步理解CMP的材料去除机理提供了理论依据.  相似文献   

8.
Endpoint detection for CMP   总被引:1,自引:0,他引:1  
In spite of dramatic advances in oxide chemical mechanical polishing (CMP) process performance in recent years, there has been relatively little published data on semiconductor production line worthy endpoint detection (EPD) systems for interlevel dielectric (ILD) planarization.1 In contrast, numerous EPD methods have been successfully developed for metal polishing, most notably friction sensing via motor current monitoring. However, these methods have so far not been proven to be 100% reliable. Semiconductor manufacturers have been searching for a viable method of either monitoring the dielectric removal rate or the planarization of the surface during the polish process, and for improved metal endpoint detection systems. A coustic methods have been patented, but to date no commercially viable acoustic based EPD system exists. A great deal of effort has been expended developing optical methods, and some success has been reported for STI structures. In this paper, we discuss many of the approaches that have been published. We categorize these approaches as being either global or local methods. We further categorize the methods as being either a direct or indirect assessment of events taking place at the surface of the wafer.  相似文献   

9.
In this work, a new feature-scale model is proposed for investigating the interaction between the wafer pattern and individual pad asperities in the process of chemical mechanical planarization (CMP). Based on the contact mechanics equation and the modified Greenwood–Williamson (GW) model which captures the evolution of feature curvature and the modification of the pad asperity height distribution, the discrete convolution and fast Fourier transform (DC-FFT) technique is adopted and combined with the Picard iteration method to calculate the direct contact pressure distribution between the wafer surface and the polishing pad. The computed pressure is then used to determine the local removal rate of the underlying patterns and predict the evolution of the wafer surface profile. Furthermore, the method is extended to capture the metal dishing as the feature size changes. It is shown that the present model can avoid the false simulated results produced by directly applying the original GW model for CMP when the feature size approaches zero. Otherwise, the calculated surface profile and dishing values of pattern geometries are in good agreement with the experimental data. Therefore, this model can not only be used to simulate the evolution of the wafer surface for global planarization at lower technology nodes, but can also be applied to provide some basic design rules for improving the process parameters and reducing the time and cost for developing new architectures.  相似文献   

10.
Distribution forms of abrasives in the chemical mechanical polishing (CMP) process are analyzed based on experimental results.Then the relationships between the wafer,the abrasive and the polishing pad are analyzed based on kinematics and contact mechanics.According to the track length of abrasives on the wafer surface,the relationships between the material removal rate and the polishing velocity are obtained.The analysis results are in accord with the experimental results.The conclusion provides a theoretical guide for further understanding the material removal mechanism of wafers in CMP.  相似文献   

11.
抛光磨料在抛光衬底和抛光垫间做磨削运动,它是CMP工艺的重要组成部分,是决定抛光速率和平坦化能力的重要影响因素。因此分析磨料的各物性参数对CMP过程的影响尤为重要。随着晶圆表面加工尺寸的进一步精密化,磨料黏度作为抛光磨料重要物性参数之一,受到越来越多的重视。根据实验结果从微观角度研究了磨料黏度对CMP抛光速率的影响及机理,并由此得出当抛光液磨料黏度为1.5 mPa.s时,抛光速率可达到458 nm/min且抛光表面粗糙度为0.353 nm的良好表面状态。  相似文献   

12.
It is well known that within-wafer nonuniformity (WIWNU) due to the variation in material removal rate (MRR) in chemical mechanical polishing (CMP) significantly affects the yield of good dies. The process control for a batch CMP operation is further complicated by wafer-to-wafer nonuniformity (WTWNU) caused by MRR decay when a number of wafers are polished with the same unconditioned pad. Accordingly, the present work focuses on modeling the WIWNU and WTWNU in CMP processes. Various material removal models suggest that the MRR is strongly influenced by the interface pressure. It is also well known that the viscoelastic properties of the pad play an important role in CMP. In the present work, an analytical expression for pressure distribution (and its associated MRR) at the wafer-pad interface for a viscoelastic pad is developed. It is observed that under constant load, which is typical during main polishing in CMP, the spatial distribution of the interface pressure profile may change with time from edge-slow to edge-fast, depending on the combination of wafer curvature, down pressure, and pad properties. For constant displacement operations, the pressure profile retains its edge-slow or edge-fast characteristics over time. The analytical model predictions of MRR based on viscoelastic pad properties also correlate very well to existing experimental observations of MRR decay when an unconditioned pad is used to polish a number of wafers. Based on these observations, it may be conjectured that the viscoelastic material properties of the pad play a primary role in causing the observed MRR decay. The analytical results obtained in the present work can also provide an estimation of evolution of thickness removal distribution over the entire wafer. This may be used for determining the optimum thickness of the overburden material and its polishing time, and for effective control of CMP processes.  相似文献   

13.
硅片化学机械抛光(CMP)是机械作用与化学作用相结合的技术,硅片表面的化学反应层主要是由抛光液中磨料的机械作用去除,磨粒对硅片表面的摩擦和划擦对硅片表面材料的去除起着重要作用。磨粒在硅片表面上的划痕长度直接影响硅片表面的材料去除率。本文首先在实验结果的基础上分析了硅片CMP过程中磨粒的分布形式,然后根据运动学和接触力学理论,分析了硅片、磨粒及抛光垫三者之间的运动关系,根据磨粒在硅片表面上的运动轨迹长度,得出了材料去除率与抛光速度之间的关系,该分析结果与实验结果一致,研究结果可为进一步理解硅片CMP的材料去除机理提供理论指导。  相似文献   

14.
In this paper, we describe a 2D axisymmetric quasi-static finite element model based on 300 mm wafer and double-side polishing (DSP) using a COMSOL Multiphysics software. Afterwards, the effects of Young's modulus and Poisson's ratio of polishing pad and the thickness ratio of upper and lower pads on the von Mises stress distribution are observed and chemical mechanical polishing (CMP) experiments are carried out to verify the above numerical calculations. The results show that a harder polishing pad results in a less edge roll-off, where a sharp variation in removal rate is observed near the edge of the wafer, but Poisson's ratio of pad has a less effect on the von Mises stress distribution on the wafer edge. A larger thickness ratio of upper and lower pads leads to a better wafer planarization.  相似文献   

15.
Topography on the wafer surface has a great effect on chemical-mechanical polishing (CMP). In this paper, the performance of a CMP system is demonstrated to be approximately an linear time invariant (LTI) system. The effects of the low-frequency components and high-frequency components in the wafer topography on CMP process are investigated. The magnitude spectra and phase spectra of the system are obtained. A model for the effect of more complex topography is established based on Fourier transform. The influences of down force and pad stiffness on the performance of CMP with the same topography are also studied.  相似文献   

16.
Inverse analysis of material removal data using a multiscale CMP model   总被引:1,自引:0,他引:1  
This paper describes a mechanical model for a representative dual axis rotational chemical mechanical planarization (CMP) tool. The model is three-dimensional, multiscale and includes sub-models for bulk pad deformation, asperity deformation, lubrication based slurry flow, carrier film deformation, wafer compliance and material removal by abrasive particles in the slurry. With the model, material removal rate (MRR) can be determined as a function of stress applied to the wafer, relative sliding speed, and material and geometric parameters of the pad and slurry. Experimental material removal rate profiles obtained from Cu polishing experiments performed on a wafer without rotation are analyzed as an inverse problem. We use MRR data to predict local CMP conditions such as fluid film thickness, fluid pressure and contact pressure. The results are consistent with available experimental and analytical information. This inverse technique offers promise as an improved method of CMP model verification.  相似文献   

17.
The effects of temperature, slurry pH, applied pressure, and polishing rotation rate on the material removal rate during chemical mechanical polishing (CMP) of 4H-silicon carbide wafers using colloidal silica slurry and polyurethane/polyester fiber polishing pads have been studied. Measured removal rates varied from around 100 Å/hr to nearly 2500 Å/hr depending on the values of the various parameters. The amount of material removed was determined by measuring the wafer mass before and after polishing. Variations in temperature and slurry pH did not produce significant changes in the measured removal rates. Higher polishing pressures resulted in increased material removal rates from 200 to 500 Å/hr but also produced excessive polishing pad damage. Variations in pad rotational speeds produced the largest changes in material removal rates, from around 200 to around 2000 Å/hr for rotational speeds between 60 and 180 rpm, but the variations were non-linear and somewhat inconsistent. This CMP formula is shown to consistently produce damage free surfaces but the optimum removal rate is slow.  相似文献   

18.
Polishing debris generated by pad surface conditioning has been suspected as a major source of microscratches in the chemical–mechanical planarization (CMP) process. In this study, we investigated the pad debris generated by an in situ conditioning process during oxide CMP as one of the major scratch sources. We evaluated the relationship between the size of pad debris and the shape of microscratches on a wafer to find the cause of scratches. Pad debris was gathered in real time during the polishing process. Then, by transmission electron microscopy we observed a mixed layer of pad material and abrasive particles on the surface of the pad debris and the pad surface, which hardened the pad debris and pad surface. The results reveal a size range of pad debris that led to a minimum scratch count. Pad debris size smaller or larger than the minimum scratch region seems to cause higher scratch count due to the hardened pad surface and pad debris.  相似文献   

19.
化学机械抛光(CMP)技术作为目前唯一可提供在整个晶圆片上全面平坦化的工艺技术,已被越来越广泛地应用到了半导体领域。介绍了CMP技术原理、晶片夹持、抛光台温度控制、抛光垫修整、终点检测、抛光后清洗等技术以及未来对国内CMP设备的展望。  相似文献   

20.
During chemical–mechanical planarization (CMP) of semiconductor wafers, chemical and mechanical process variables are strongly correlated and jointly affect polishing performance. The correlation among these process variables could potentially be utilized to characterize process conditions for the purpose of diagnosis. However, process variables measured during CMP, such as the temperature distribution and coefficient of friction between wafer and pad, vary with time and present in a functional form. This significantly increases the complexity of analyzing correlation patterns and relating them with process conditions. The focus of this paper is therefore twofold: 1) experimental investigation of the correlation between sensing process variables and the implication of correlation pattern changes on process conditions and 2) statistical analysis of correlation patterns between process variables in functional form. In the designed CMP experiment, we investigated two failure modes during CMP process: pad failure and slurry failure. Slurry failure was generated by reducing the percentage of oxidizer to investigate its effects on polishing performance and heat generation on the pad. Pad failure was due to variation of diamond abrasive sizes in the conditioner. The post-CMP study of nonuniformity and defects such as scratches on the wafer was conducted to characterize process conditions. The experimental and statistical results support the investigation of correlation among process variables for condition diagnosis.   相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号